外文翻译--SJA1000--独立的CAN控制器_第1页
外文翻译--SJA1000--独立的CAN控制器_第2页
外文翻译--SJA1000--独立的CAN控制器_第3页
外文翻译--SJA1000--独立的CAN控制器_第4页
外文翻译--SJA1000--独立的CAN控制器_第5页
已阅读5页,还剩8页未读 继续免费阅读

下载本文档

版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领

文档简介

黑龙江工程学院本科生毕业设计 1 附录 A 英文原文 SJA1000 Stand-alone CAN controller 1. INTRODUCTION The SJA1000 is a stand-alone CAN Controller product with advanced features for use in automotive and general industrial applications. It is intended to replace the PCA82C200 because it is hardware and software compatible. Due to an enhanced set of functions this device is well suited for many applications especially when systemoptimization, diagnosis and maintenance are important. This report is intended to guide the user in designing complete CAN nodes based on the SJA1000. The report provides typical application circuit diagrams and flow charts for programming. 2. OVERVIEW The stand-alone CAN controller SJA1000 1 has two different Modes of Operation: 1.BasicCAN Mode (PCA82C250 compatible). 2.PeliCAN Mode. Upon Power-up the BasicCAN Mode is the default mode of operation. Consequently, existing hardware and software developed for the PCA82C250 can be used without any change. In addition to the functions known from the PCA82C250 , some extra features have been implemented in this mode which make the device more attractive. However, they do not influence the compatibility to the PCA82C250. The PeliCAN Mode is a new mode of operation which is able to handle all frame types according to CAN specification 2.0B. Furthermore it provides a couple of enhanced features which makes the SJA1000 suitable for a wide range of applications. 2.1 SJA1000 Features The features of the SJA1000 can be clustered into three main groups: 1.Well-established PCA82C200 Functions Features of this group have already been implemented in the PCA82C250. 2.Improved PCA82C200 Functions Partly these functions have already been implemented in the PCA82C250. However, in the SJA1000 they have been improved in terms of speed, size or performance. 3.Enhanced Functions in PeliCAN Mode 黑龙江工程学院本科生毕业设计 2 In PeliCAN Mode the SJA1000 offers a couple of Error Analysis Functions supporting diagnosis, system maintenance and optimization. Furthermore functions for general CPU support and System Self Test have been added in this mode. 2.2 CAN Node Architecture Generally each CAN module can be divided into different functional blocks. The connection to the CAN bus linesis usually built with a CAN Transceiver optimized for the applications. The transceiver controls thelogic level signals from the CAN controller into the physical levels on the bus and vice versa. The next upper level is a CAN Controller which implements the complete CAN protocol defined in the CAN Specification 8. Often it also covers message buffering and acceptance filtering. All these CAN functions are controlled by a Module Controller which performs the functionality of the application. For example, it controls actuators, reads sensors and handles the man-machine interface (MMI). As shown in Figure 1 the SJA1000 stand-alone CAN controller is always located between a microcontroller and the transceiver, which is an integrated circuit in most cases. Figure 1 CAN Module Set-up 2.3 Block Diagram The following figure shows the block diagram of the SJA1000. The CAN Core Block controls the transmission and reception of CAN frames according to the CAN specification. The Interface Management Logic block performs a link to the external host controller which can be a microcontroller or any other device. Every register access via the SJA1000 黑龙江工程学院本科生毕业设计 3 multiplexed address/data bus and controlling of the read/write strobes is handled in this unit. Additionally to the BasicCAN functions known from the PCA82C250, new PeliCAN features have been added. As a consequence of this, additional registers and logic have been implemented mainly in this block. Figure 2: Block Diagram SJA1000 The Transmit Buffer of the SJA1000 is able to store one complete message (Extended or Standard). Whenever a transmission is initiated by the host controller the Interface Management Logic forces the CAN Core Block to read the CAN message from the Transmit Buffer. When receiving a message, the CAN Core Block converts the serial bit stream into parallel data for the Acceptance Filter. With this programmable filter the SJA1000 decides which messages actually are received by the host controller. All received messages accepted by the acceptance filter are stored within a Receive FIFO. Depending on the mode of operation and the data length up to 32 messages can be stored. This enables the user to be more flexible when specifying interrupt services and interrupt priorities for the system because the probability of data overrun conditions is reduced extremely. 3. SYSTEM For connection to the host controller, the SJA1000 provides a multiplexed address/data bus and additional read/write control signals. The SJA1000 could be seen as a peripheral memory mapped I/O device for the host controller. 3.1 SJA1000 Application Configuration Registers and pins of the SJA1000 allow to use all kinds of integrated or discrete CAN transceivers. Due to the flexible microcontroller interface applications with different microcontrollers are possible. 黑龙江工程学院本科生毕业设计 4 Figure 3 Typical SJA1000 Application 3.2 Power Supply The SJA1000 has three pairs of voltage supply pins which are used for different digital and analog internal blocks of the CAN controller. 1.VDD1 / VSS1: internal logic (digital). 2.VDD2 / VSS2: input comparator (analog). 3.VDD3 / VSS3: output driver (analog). The supply has been separated for better EME behaviour. For instance the VDD2 can be de-coupled via an RC filter for noise suppression of the comparator. 3.3 Reset For a proper reset of the SJA1000 a stable oscillator clock has to be provided at XTAL1 of the CAN controller,see also chapter 3.4. An external reset on pin 17 is synchronized and internally lengthened to 15 TXTAL. This guarantees a correct reset of all SJA1000 registers (see1). Note that an oscillator start-up time has to be taken into account upon power-up. 3.4 Oscillator and Clocking Strategy The SJA1000 can operate with the on-chip oscillator or with external clock sources. Additionally the CLK OUT pin can be enabled to output the clock frequency for the host controller. Figure 4 shows four different clocking principles for applications with the SJA1000. If the CLK OUT signal is not needed, it can be switched off with the Clock Divider register (Clock Off = 1). This will improve the EME performance of the CAN node. The frequency of the CLK OUT signal can be changed with the Clock Divider Register: CLK OUT = f XTAL / Clock Divider factor (1,2,4,6,8,10,12,14). 黑龙江工程学院本科生毕业设计 5 Upon power up or hardware reset the default value for the Clock Divider factor depends on the selected interface mode (pin 11). If a 16 MHz crystal is used in Intel mode, the frequency at CLK OUT is 8 MHz. In Motorola mode a Clock Divider factor of 12 is used upon reset which results in 1,33 MHz in this case. Figure 4 Clocking Schemes 3.4.1 Sleep and Wake-up Upon setting the Go To Sleep bit in the Command Register (BasicCAN mode) or the Sleep Mode bit in the Mode Register (PeliCAN mode) the SJA1000 will enter Sleep Mode if there is no bus activity and no interrupt is pending. The oscillator keeps on running until 15 CAN bit times have been passed. This allows a microcontroller clocked with the CLK OUT frequency to enter its own low power consumption mode. If one of three possible wake-up conditions occurs the oscillator is started again and a Wake-up interrupt is generated. As soon as the oscillator is stable the CLK OUT frequency is active. 3.5 CPU Interface The SJA1000 supports the direct connection to two famous microcontroller families: 80C51 and 68xx. With the MODE pin of the SJA1000 the interface mode is selected. Intel Mode: MODE = high. Motorola Mode: MODE = low. The connection for the address/data bus and the read/write control signals in both Intel and Motorola mode is shown in Figure 5. For Philips 8-bit microcontrollers based on the 80C51 family and the 16-bit microcontrollers with XA architecture the Intel Mode is used. For other controllers additional glue logic is necessary for adaptation of the 黑龙江工程学院本科生毕业设计 6 address/data bus and the control signals. However, it has to be made sure that no write pulses are generated during power-up. Another possibility is to disable the CAN controller with a high-level on the chip select input in this time. Figure 5 CPU Interface of the SJA1000 3.6 Physical Layer Interface For compatibility purposes with the PCA82C250, the SJA1000 includes an analog receive input comparator circuit. This integrated comparator can be used if the transceiver function is realized with discrete components. Figure 6 SJA1000 Receive Input Comparator If an external integrated transceiver circuit is used and the comparator bypass function is not enabled in the Clock Divider Register, the RX1 input has to be connected to a reference voltage of 2.5V (reference voltage output of existing transceiver circuits). Figure 6 黑龙江工程学院本科生毕业设计 7 shows the equivalent circuits for both configurations: CBP = active and CBP = inactive. Additionally the path for the wake-up signal is drawn. For all new applications where an integrated transceiver circuit is used, it is recommended to activate the comparator bypass function of the SJA1000 (Figure 7). If this function is enabled, a schmitt-trigger input is used and the internal propagation delay TD2 is much shorter as the delay TD1. of the receive comparator. This has a positive impact on the maximum bus length6. Additionally, it will reduce the supply current in sleep mode significantly. Figure 7 Standard application with integrated transceiver circuit 黑龙江工程学院本科生毕业设计 8 附录 B 英文翻译 SJA1000 独立的 CAN 控制器 1.介绍 控制器局部网 CAN 是一个串行的异步的多主机的通讯协议 SJA1000 是一个独立的 CAN 控制器它在汽车和普通的工业应用上有先进的特征由于硬件和软件的兼容它将会替代 PCA82C250。 它与 PCA82C250 相比具有更先进的特征因此特别适合于轿车内的电子模块传感器制动器的连接和通用工业应用中特别是系统优化系统诊 断和系统维护时特别重要。 本文倾向于在设计 SJA1000 为基础的 CAN 节点上引导用户同时还提供典型的应用电路图和用于编程的流程图。 2.概述 独立的 CAN控制器 SJA1000有 2个不同的操作模式: 1.BasicCAN 模式 (PCA82C250兼容 )。 2.PeliCAN 模式。 上电时 BasicCAN模式是默认的操作模式因此已经使用 PCA82C250开发出的硬件和软件可以直接被 SJA1000 使用而不用作任何修改 PeliCAN 模式是操作的新模式它能够处理所有的 CAN2.0B 定义的帧类型而且它还提供一些增强 功能使 SJA1000 能应用于更宽的领域。 2.1 SJA1000 特征 SJA1000的特征能分成 3组 : 1.已建立好的 PCA82C250功能 这组的特征在 PCA82C250里已经生效 。 2.提高的 PCA82C200功能 部份这些功能在 PCA82C250 里已经生效但是在 SJA1000 里它们在速度大小和性能方面已得到提高 。 3.在 PeliCAN模式里的增强功能 在 PeliCAN 模式里 SJA1000 支持一些错误分析功能如支持系统诊断系统维护系统优化而且这个模式里也加入了对一般 CPU的支持和系统自身测试的功能。 黑龙江工程学院本科生毕业设计 9 2.2 CAN 节点结构 一般来说每个 CAN模块能够被分成不同的功能块 CAN总线的连接通常由被优化的 CAN收发器建立收发器控制逻辑电平信号从 CAN控制器到达总线上的物理层反之亦然。 上面一层是一个 CAN 控制器它执行在 CAN 规约里定义的 CAN 协议它通常用于信息缓冲和验收滤波。 而所有这些 CAN 功能都被一个模块控制器控制它用于执行功能性的应用例如控制调节器读传感器和处理人机接口 MMI。 如图 1所示 , SJA1000独立的 CAN控制器总是位于微型控制器和收发器之间在一般情况下这个控制器是一个集成电路。 图 1 CAN模块装置 2.3 方块图 下图是 SJA1000的方块图。 图 2 SJA1000的方块图 根据 CAN规约 CAN核心模块控制 CAN帧的发送和接收。 接口管理逻辑完成对外部主控制器的连接该控制器能可以是微型控制器或其他器件经过 SJA1000 复用的地址 /数据总线访问寄存器和控制读 /写选通信号都在这里处理另外除了 PCA82C200 已有的 BasicCAN 功能还加入了一个新的 PeliCAN 功能因此附加的寄存器和逻辑电路主要在这块里生效。 黑龙江工程学院本科生毕业设计 10 SJA1000 的发送缓冲器能够存储一个完整的信息扩展的或标准的无论什么时候主控制器初始化发送接口管理逻辑会迫使 CAN核心块从发送缓冲器读 CAN 信息。 当收到一个信息时 CAN 核心块将串行位流转换成用于验收滤波器的并行数据通过这个可编程的滤波器 SJA1000能确定哪些信息实际上被主控制器收到。 所有收到的信息由验收滤波器接收并存储在接收 FIFO 储存信息的多少由工作模式决定而最多能存储 32 个信息因为数据溢出的可能性被大大降低这使用户能更灵活地指定中断服务和中断优先级。 3.系统 为了连接到主控制器 SJA1000 提供一个复用的地址 /数据总线和附加的读 /写控制信号 SJA1000能被看作外围 存储器并为主控制器映射 I/O设备。 3.1 SJA1000 应用 SJA1000 的寄存器和管脚配置允许它使用于各种各样的集成的或分立的 CAN 收发器这使不同微控制器之间的接口能够被灵活运用 。 一个包括 80C51 微型控制器和 PCA82C250 收发器的典型 SJA1000 应用图如图 3所示 CAN 控制器功能作为一个时钟源复位信号由外部复位电路产生在这个例子里SJA1000的片选由微控制器的 P2.7口控制否则这个片选输入必须接到 VSS也可以通过地址解码控制例如当地址 /数据总线用于其他外围器件。 图 3 典型的 SJA1000应用 3.2 电源 SJA1000有三组电源引脚用于 CAN控制器内部不同的数字和模拟模块 : 1.VDD1/VSS1内部逻辑数字。 2.V

温馨提示

  • 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
  • 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
  • 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
  • 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
  • 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
  • 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
  • 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

评论

0/150

提交评论