基于vhdl交通灯控制器设计与仿真说明书_第1页
基于vhdl交通灯控制器设计与仿真说明书_第2页
基于vhdl交通灯控制器设计与仿真说明书_第3页
基于vhdl交通灯控制器设计与仿真说明书_第4页
基于vhdl交通灯控制器设计与仿真说明书_第5页
已阅读5页,还剩44页未读 继续免费阅读

下载本文档

版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领

文档简介

本科毕业论文(设计)( 2013届 ) 题 目: 基于VHDL的交通灯控制器设计与仿真 学 院: 机电与信息工程学院 专 业: 电子信息工程 学生姓名: 杨洋 学号: 20906022071 指导教师: 官鸣俊 职称(学位): 副教授 合作导师: 职称(学位): 完成时间: 2013 年 5 月 22 日 成 绩: 黄山学院教务处制学位论文原创性声明兹呈交的学位论文,是本人在指导老师指导下独立完成的研究成果。本人在论文写作中参考的其他个人或集体的研究成果,均在文中以明确方式标明。本人依法享有和承担由此论文而产生的权利和责任。声明人(签名):年 月 21目 录摘要1英文摘要21引言32 EDA设计技术综述32.1 EDA技术32.1.1 EDA简介32.1.2 EDA设计流程42.1.3 EDA技术优势42.2 Max+plusII设计平台52.2.1 Max+plusII简介52.2.2 Max+plusII设计流程52.3 VHDL硬件描述语言52.3.1 VHDL简介52.3.2 VHDL硬件描述语言的主要优点52.3.3VHDL设计流程63交通灯控制器功能描述与组成结构63.1 交通灯控制器功能描述63.2 交通灯控制器组成结构74 交通灯控制器的VHDL设计74.1 交通灯控制器主要模块设计与仿真74.1.1 分频器设计与仿真74.1.2 状态机设计与仿真104.2 交通灯控制器顶层文件设计与仿真125 结束语15参考文献16致谢17附录:交通灯控制器各模块VHDL程序描述和顶层文件VHDL程序描述18基于VHDL的交通灯控制器设计与仿真 机电与信息工程学院 电子信息工程 杨洋(20906022071)指导老师:官鸣俊(副教授)摘要:与传统的电子系统设计方案相比较,传统的交通灯控制系统都是基于单片机或者PLC的设计方案来实现,而对于复杂电路的设计运用EDA技术则会使之变得比较简单。本文描述的正是EDA的设计思路和技术方法,通过控制十字路口红绿灯数字信号的自动切换,来管理十字路口的交通,进而达到十字路口交通自动化管理的目的。本设计采用基于VHDL语言的设计方案来设计交通灯控制器。通过对交通灯控制器的系统结构分析,而采用一种自上而下的层次化的设计方法来编写每个模块的VHDL程序,并使用MAX+ PLUS II软软件进行仿真,最后并得到相应的仿真结果。关键词:交通灯;EDA;VHDL;MAX+PlusTraffic light controller based on VHDL design and simulationYang YangDirector:Guan Mingjun(School of Mechanical Electronic & Information Engineering, Huangshan University, Huangshan, China, 245041)Abstract:The majority of the traditional traffic light control systems are consists of MCU or PLC . Compared with the traditional electronic design methods, EDA technology is more simple for complex circuit design and debugging. The passage describes the design ideas and technical methods based on EDA,which aims to achieve automation management of the crossroads by controlling the traffic lights automatically to direct the vehicles and pedestrians.ThisdesignusesVHDLlanguagetofinishtrafficlightcontroller.Afteranalysisofthestructureofthesystem,youshouldusethetop-downapproachandhierarchicaldesigntowritetheVHDLprogramforeachmoduleandtheMAX+PLUSIItosimulate,inordertogetthecorrespondingsimulationresults. Key words: traffic light;EDA;VHDL;MAX+Plus1 引言自从1868年世界上第一个交通信号灯家族在英国伦敦议会大厦广场上的诞生到今天,交通灯已经走过了它140多年的使用历史。纵观世界城市发展史可知,交通灯的出现在很大程度上改善了城市的道路通行状况,使得城市交通更加安全有序。直至今日,人们的日常生活已经越来越离不开交通灯了。随着社会的不断进步,经济的高速发展,社会在不断积累物质财富的过程中,也带来了汽车数量的急剧增加,越来越多的车辆让本身并不宽敞的城市道路日益拥挤。人们在通过改善城市道路自身条件来改善城市通行状况的同时,交通灯的被广泛使用也为解决城市交通问题带来了不可估量的作用。在交通日益发达的当代,交通灯控制器已经成为最实用的的交通控制工具之一。在以往的人工指挥交通已经远不能达到现代交通通行控制要求的情况下,为了使道路路口的通行能有一个较高的效率,则在道路路口使用高智能的交通灯控制器来取代人工指挥。城市道路的通行能力是一个城市的发展的命脉,也是衡量一个城市文明进步的标志,城市交通的发展水平影响着一个城市经济的发展和人民生活水平的提高。城市里四通八达的交通通行网络也形成了众多的交叉口,然而交叉口本身地理位置的限制和没有得到充分利用等因素造成了车流中断、事故增多、延误严重等很多交通问题。因此,设计并使用高效的红绿灯智能控制系统是当今城市发展的客观要求。2 EDA设计技术综述2.1 EDA技术 2.1.1 EDA简介EDA(Electronic Design Automation)技术是当代电子技术的核心,它融合了大规模的电路制造技术。EDA技术它依赖功能强大的计算机,一硬件描述语言VHDL(Hardware Description Language)为系统逻辑描述手段。在EDA开发工具的软件平台上,可自动的完成逻辑编译、逻辑化简、逻辑分割、逻辑综合、结构综合以及逻辑优化和仿真测试,以软件的方式来实现对系统硬件的设计和仿真1。一个完整的EDA设计流程具体的实施途径是利用自顶向下的设计方法。银行用VHDL进行自上而下的设计2,就是使用VHDL模型在所有综合级别上对硬件设计进行说明、建模和仿真测试。采用EDA技术来进行电子系统的设计,可大幅度提高电子系统设计的效率和可行性,解放了设计者的思想束缚和为设计者节约了大量的时间3。2.1.2 EDA设计流程对于目前常用的EDA工具软件,设计流程如图1-1所示4。图2-1 应用于FPGA/CPLD的EDA开发设计流程框图基本步骤如下:(1)设计输入:可分为HDL文本编辑输入和图形输入5。(2)综合:对HDL而言,综合过程将把硬件描你和硬件结构进行挂钩,是将软件功能转化为硬件电路不可缺少的环节。(3)适配:将综合器产生的网表配置到目标器件中,并使之产生下载文件。(4)功能仿真和时序仿真:实际操作时,一般功能仿真在前,时序仿真在后,目的是便于把握设计项目在硬件条件下的运行情况。(5)编程下载:向FPGA或者CPLD下载配置文件。(6)硬件测试:硬件仿真与测试。2.1.3 EDA技术优势EDA技术的优势主要主要有以下几个方面:(1)可在设计的各阶段和各层次进行计算机的模拟验证,提高的设计的正确性,并且也大大缩短了设计的周期和降低了设计者的劳动强度。(2)EDA强大的库文件,丰富的库文件是能够完成各种自动设计过程的关键。(3)EDA设计中的使用的文档型的语言。文档型语言在EDA上的运用可以在很大程度上简化了设计文档的管理。(4)EDA仿真测试技术极大的提高了电子设计的自动化程度。(5)它既可以用不同来源的通用FPGACPLD实现,也可以直接以ASIC来实现,设计者拥有完全的自主权。(6)EDA技术的设计语言是标准化的设计语言,这样的特点使得它的设计成果是通用的。(7)EDA技术可自顶向下设计方案的这一特点是它相比较其他设计技术的最大优势所在。(8)EDA技术可使得在整个的设计过程中都可以对设计文件进行模拟仿真,而不受阶段化设计的限制6。2.2 Max+plusII设计平台2.2.1 Max+plusII简介作为Altera公司推出的第三代PLD开发系统的MAX + PLUS II,他在原理图输入等方面变现十分优秀,在人机互动方面可谓是最好的 PLD开发软件。MAX + PLUS II与结构无关的设计环境的特点,使得从事电子系统设计的开发人员可以快速入门,快速处理器件编程设计7。 2.2.2 Max+plusII设计流程通常可将MaxplusII设计流程归纳为以下7个步骤:(1)设计输入。可提供图形输入、文本输入和波形输入以及网表文件输入。(2)功能仿真。(3)设计编译。将高层次的系统行为向门级逻辑电路转化的过程。 (4)优化。与指定的库映射生成新的网表。(5)布局布线。(6)时序仿真。运用精确参数对电路进行验证。(7)生产。最后在布线和后仿真完都完成之后即可开始对ASCI或PLD芯片的投产8。2.3 VHDL硬件描述语言2.3.1 VHDL简介VHDL是当今电子系统设计中经常使用的硬件描述语言,VHDL主要由实体、配置、结构体、程序包和库五大设计元素组成。它以硬件描述范围广、层次化的设计、语法严谨和标准等特点,受到越来越多电子设计者的青睐。它的出现奠定了硬件描述语言在电子系统设计中的基础 9。2.3.2 VHDL硬件描述语言的主要优点VHDL在电子设计中具有以下优点:(1)层次化设计的多种描述方式。 (2)硬件描述的范围广。(3)VHDL的设计对特定器件没有很强的依赖性,工艺的转换得以便利。 (4)VHDL语言严谨,它使得设计者在编写程序时编写方式多样化,设计思路更加清晰 。 (5)VHDL可被多种EDA软件工具支持,具有可移植性强的特点10。2.3.3 VHDL设计流程在本设计中使用的EDA工具软件是MAX+Plus,在MAX+Plus软件中进行VHDL设计的基本过程是:(1)对VHDL程序进行编写。(2)对VHDL程序进行编译。(3)对VHDL程序进行仿真验证。(4)对芯片进行时序分析。(5)对芯片管脚位置进行安排。(6)下载程序至芯片FLEX10K20。设计者在实际开发过程中,往往需要重复上述步骤,直到通过所有的测试为止11。 3 交通灯控制器功能描述与组成结构3.1 交通灯控制器功能描述在今天的城市道路控制中,交通灯已经被广泛的使用。交通灯的工作原理是根据时间片将输入信号转化为输出信号。它可以把一个输入信号为时间片为标准分为三个独立的输出信号,每个输出信号对应一盏交通灯。交通灯控制器的使用目的是为了保障十字路口交通的秩序,利用智能化的管理来追求十字路口车辆的最大通行能力。本设计中是对十字路口A方向和B方向的红绿灯进行设计。在本设计中,假设A、B两个方向额交通灯都是以10s为一个时间周期来进行运作,同时设计两路口每盏交通灯亮灯的时间相等,在实际控制中A、B两方向的交通灯控制互相影响。A、B两方向的交通灯工作时的真值表如表3-1所示。表3-1 交通灯真值表方向A方向B方向灯红灯黄灯绿灯红灯黄灯绿灯真值表1000011000100100100101000011000101000100101000103.2 交通灯控制器组成结构本设计中交通灯控制器主要由两部分结构组成:一个是分频器,另一个是状态机。分频器:通过使用一个计数器来实现对分频处理,当计数器每计数到5,电平翻转一次实现10分频,当计数器计数到4,电平翻转一次实现8分频。状态机:对信号处理后进行状态输出,控制交通灯电平。4 交通灯控制器的VHDL设计4.1 交通灯控制器主要模块设计与仿真项目的建立:在计算机C盘根目录下创建设计总文件夹“mydesign”,并在“mydesign”下创建项目文件夹“jiaotongdeng”。4.1.1 分频器设计与仿真在本设计中,分频器的作用是一个将时钟信号通过计数器再根据时间片段转化为若干个输出信号的装置,具有分频功能的电路称为分频器12。分频器原理图如4-1所示。图4-1 分频器原理图分频器程序流程图如图4-2所示。时钟信号CLK的输入temp1计数到5temp3计数到4temp4电平翻转一次,实现8分频temp2电平翻转一次实现10分频temp4信号接到Q2端口temp2信号接到Q1端口图4-2 分频器程序流程图(1) VHDL程序输入打开软件MAX+plus10.2并新建工程。在 “Directories”区选择项目文件夹“jiaotongdeng”,在“Project Name”区输入该模块的项目名“fenpin”。建立新的Text Editor file,在程序输入框内输入分频器模块的VHDL程序。然后保存在C盘设计总文件夹“mydesign”下项目文件夹“jiaotongdeng”里,程序名为“fenpin.vhd”。分频器的主要VHDL程序如下: if(clkevent and clk=0)thenif temp1=”100”thentemp2=not temp2; temp1=”000”; else temp1=temp1+1; end if; end if;end process;process(temp2) beginif temp2event and temp2=0 then if temp3=”011”thentemp4=not temp4;temp3=”000”;else temp3=temp3+1; end if;end if;end process; q1=temp2; q2if din =0thenstateif din=0 thenstate=s3;else stateif din=0 thenstate=s4; else stateif din=0 thenstate=s5;else stateif din=1 thenstate state data data data data data=110011;end case;end process;(2)项目编译在MAX+plus菜单中选择“Compiler”后,再选择“Start” ,即可开始对项目进行编译,点击Max+plus软件编译选项得出结果如图4-6所示。4-6 状态机编译图通过编译图可以看出,状态机的程序是正确的。 (3)时序仿真在编译过程结束之后点击Max+plus软件主菜单中仿真选线进行仿真。建立新的scf文件,建立完成后,对此文件进行保存,并将此波形文件保存为:“moore.scf”, 完成波形输入后即可开始模拟。状态机仿真波形图如4-7所示。图4-7 状态机仿真波形图由交通灯状态机仿真波形图4-7可知,波形分析图的结果与理论分析结果一致。4.2 交通灯控制器顶层文件设计与仿真在本设计中,顶层文件包括分频器和状态机这两大模块,对顶层文件的设计同样使用VHDL来描述。由分频器模块的设计和状态机模块的设计可知,顶层文件的的原理图如4-8所示。图4-8 交通灯控制器原理图(1)VHDL程序输入打开软件MAX+plus10.2并新建工程。在 “Directories”区选择项目文件夹“jiaotongdeng”,在“Project Name”区输入该模块的项目名“jiaotongdeng”。建立新的Text Editor file,在程序输入框内输入分频器模块的VHDL程序。然后保存在C盘设计总文件夹“mydesign”下项目文件夹“jiaotongdeng”里,程序名为“jiaotongdeng.vhd”。交通灯控制器顶层文件的主要VHDL程序描述如下:architecture one of jiaotongdeng is component fenpin port(clk:in std_logic; q1,q2:out std_logic); end component; component moore port(clk,din:in std_logic; en0,en1:out std_logic; data:out std_logic_vector(5 downto 0); end component;signal a1:std_logic;signal a2:std_logic;beginu1:fenpinport map(clk,a1,a2);u2:mooreport map(a1,a2,en0,en1,data); (2)项目编译在MAX+plus菜单中选择“Compiler”后,再选择“Start” ,即可开始对项目进行编译,点击Max+plus软件编译选项得出结果如图4-9所示。图4-9 交通灯控制器编译图通过编译图4-9可以看出,状态机的程序是正确的。 (3)时序仿真在编译过程结束之后点击Max+plus软件主菜单中仿真选线进行仿真。建立新的scf文件,建立完成后,对此文件进行保存,并将此波形文件保存为:“jiaotongdeng.scf”, 完成波形输入后即可开始模拟。交通灯控制器顶层文件的仿真波形如图4-10所示。图4-10 交通灯控制器顶层文件仿真波形图由图4-10可知,交通灯控制器的波形分析图的结果与理论分析结果一致。5 结束语通过对本次论文设计的学习,让我开始慢慢接触到EDA技术领域,学习并掌握了使用EDA技术进行设计的一般方法和将其运用到交通灯控制系统设计里的具体设计方案。在本次EDA学习过程中,我从自身学习EDA这一角度出发,将EDA技术的学习内容模块分为以下三个部分:一是对EDA技术在宏观上进行把握,了解EDA技术综述;二是对硬件VHDL硬件描述语言和EDA软件操作平台的学习,了解并学会使用EDA技术的设计工具;三是对可编程逻辑器件的学习,掌握EDA技术中的硬件知识。通过上述三个部分的学习,我基本上掌握了EDA技术设计基础。EDA技术在电子系统设计中打破了传统设计方式的设计理念,其优越性已在本文交通灯控制系统的设计中得以体现。我想随着科学技术的不断发展,电子电路系统的设计也越来越数字化、简约化。这也为EDA相关技术的发展带来机遇,作为硬件描述语言的VHDL也必将成为EDA技术发展的重要方面。我们相信在今后的电子产品的研发生产中,EDA技术必将会承担起越来越多的期望和使命,我们也相信EDA技术将会拥有一个广阔的前景。参考文献1 张原.可编程逻辑器件设计及应用M. 北京:机械工业出版社,2005,10-30.2 王振红.VHDL数字电路设计与应用实践教程M. 北京: 科学出版社, 2005, 45-60.3 Dominik Leiner,Andreas Fahr,Hannah Frh. EDA Positive ChangeJ .Communication Methods and Measures,2012Vol.6(4),237-250.4 曾繁泰,陈美金.VHDL程序设计注意事项J. 北京:清华大学学报,2009(20),15-80.5 刘昌华,张希等. 数字逻辑EDA设计与实践M北京: 国防工业出版社,2009,43-44。6 潘松,黄继业.EDA技术实用教程M.北京:科学出版社,2006,10-11.7 黄正谨.CPLD系统设计技术入门与应用J. 北京:北京科技大学学报,2008(16),13-23.8 张水利,鲁冠华,刘星,常用EDA软件简介J. 山东水利职业学院院刊,2006(01),28-29.9 Paul Salama,Maher E. Rizkalla,Michael Eckbauer. VHDL Implementation of the Fast Wavelet TransformJ. The Journal of VLSI Signal Processing Systems for Signal, Image, and Video Technology,2006Vol.42(3),11.10 LszlVarga.Design Procedure Basedon VHDL Language TransformationsJ. Kluwer Academic Publishers, 1997Vol.14(4),67-75.11 曾繁泰,陈美金.VHDL程序设计M.清华大学出版社.2001,157-16112 张奇惠,武超等. 基于VerilogHDL的分频器的优化设计J.河南大学学报,2007(04),13.13 孔昕等. 基于Verilog的有限状态机设计与优化J. 微电子学与计算机,2010(02),27.致谢从论文准备工作开始到今天论文设计圆满结束,几个月的时间不算长也不算短,但是我在这几个月的时间里通过对论文的设计,不仅仅是让我掌握了EDA的相关技术,我想收获最大的是那份可贵的师生情。在论文设计过程中,指导老师对论文的指导尽心尽力,在赵老师的耐心指导下,论文的完成才得以这么顺利。特别是在对交通灯控制器各个模块的编译仿真方面,帮我分析了方向,并且详细解答了我在时序仿真中存在的疑惑,让我更快的理解了系统设计的关键部分,对系统设计的效率提高有很大的帮助。在这里,我由衷的感谢赵老师在本次论文设计中对我的帮助。四年的大学生活,弹指一挥间,大学四年是我们成长的四年,也是我们追梦的四年,现在我们即将毕业。对大学的生活和朝夕相伴的同学都有太多的不舍,太多的依恋,在这火红的毕业季,祝愿我们青春不止,奋斗不息。附录:交通灯控制器各模块VHDL程序描述和顶层文件VHDL程序描述(1)分频器VHDL程序描述library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity fenpin isport(clk:in std_logic;q1,q2:out std_logic);end fenpin;architecture beha of fenpin issignal temp1,temp3:std_logic_vector(2 downto 0);signal temp2,temp4:std_logic;begin process(clk)begin if(clkevent and clk=0)thenif temp1=”100”thentemp2=not temp2; temp1=”000”; else temp1=temp1+1; end if; end if;end process; process(temp2) beginif temp2event and temp2=0 then if temp3=”011”thentemp4=not temp4;temp3=”000”;else temp3=temp3+1; end if;end if;end process; q1=temp2; q2if din =0thenstateif din=0 thenstate=s3;else stateif din=0 thenstate=s4; else stateif din=0 thenstate=s5;else stateif din=1 thenstate state data data data data data=110011;end case;end process;en0=1;en1=1;end one;(3)交通灯控制器顶层文件VHDL程序描述library ieee;use ieee.std_logic_1164.all;entity jiaotongdeng is port(clk:in std_logic; en0,en1:out std_logic; data:out std_logic_vector(5 downto 0);end;architecture one of jiaotongdeng is component fenpin port(clk:in std_logic; q1,q2:out std_logic); end component; component moore port(clk,din:in std_logic; en0,en1:out std_logic; data:out std_logic_vector(5 downto 0); end component;signal a1:std_logic;signal a2:std_logic;beginu1:fenpinport map(clk,a1,a2);u2:mooreport map(a1,a2,en0,en1,data); end;21大学本科生毕业设计(论文)撰写规范本科生毕业设计(论文)是学生在毕业前提交的一份具有一定研究价值和实用价值的学术资料。它既是本科学生开始从事工程设计、科学实验和科学研究的初步尝试,也是学生在教师的指导下,对所进行研究的适当表述,还是学生毕业及学位资格认定的重要依据。毕业论文撰写是本科生培养过程中的基本训练环节之一,应符合国家及各专业部门制定的有关标准,符合汉语语法规范。指导教师应加强指导,严格把关。1、论文结构及要求论文包括题目、中文摘要、外文摘要、目录、正文、参考文献、致谢和附录等几部分。1.1 题目论文题目应恰当、准确地反映论文的主要研究内容。不应超过25字,原则上不得使用标点符号,不设副标题。1.2 摘要与关键词1.2.1 摘要本科生毕业设计(论文)的摘要均要求用中、英两种文字给出,中文在前。摘要应扼要叙述论文的研究目的、研究方法、研究内容和主要结果或结论,文字要精炼,具有一定的独立性和完整性,摘要一般应在300字左右。摘要中不宜使用公式、图表,不标注引用文献编号,避免将摘要写成目录式的内容介绍。1.2.2 关键词关键词是供检索用的主题词条,应采用能覆盖论文主要内容的通用技术词条(参照相应的技术术语标准),一般列35个,按词条的外延层次从大到小排列,应在摘要中出现。1.3 目录目录应独立成页,包括论文中全部章、节的标题及页码。1.4 论文正文论文正文包括绪论、论文主体及结论等部分。1.4.1 绪论绪论一般作为论文的首篇。绪论应说明选题的背景、目的和意义,国内外文献综述以及论文所要研究的主要内容。文管类论文的绪论是毕业论文的开头部分,一般包括说明论文写作的目的与意义,对所研究问题的认识以及提出问题。绪论只是文章的开头,不必写章号。毕业设计(论文)绪论部分字数不多于全部论文字数的1/4。1.4.2 论文主体论文主体是论文的主要部分,要求结构合理,层次清楚,重点突出,文字简练、通顺。论文主体的内容要求参照大学本科生毕业设计(论文)的规定第五章。论文主体各章后应有一节“本章小结”。1.4.3 结论结论作为单独一章排列,但不加章号。结论是对整个论文主要成果的归纳,要突出设计(论文)的创新点,以简练的文字对论文的主要工作进行评价,一般为4001 000字。1.5 参考文献参考文献是论文不可缺少的组成部分,它反映了论文的取材来源和广博程度。论文中要注重引用近期发表的与论文工作直接有关的学术期刊类文献。对理工类论文,参考文献数量一般应在15篇以上,其中学术期刊类文献不少于8篇,外文文献不少于3篇;对文科类、管理类论文,参考文献数量一般为1020篇,其中学术期刊类文献不少于8篇,外文文献不少于3篇。在论文正文中必须有参考文献的编号,参考文献的序号应按在正文中出现的顺序排列。产品说明书、各类标准、各种报纸上刊登的文章及未公开发表的研究报告(著名的内部报告如PB、AD报告及著名大公司的企业技术报告等除外)不宜做为参考文献引用。但对于工程设计类论文,各种标准、规范和手册可作为参考文献。引用网上参考文献时,应注明该文献的准确网页地址,网上参考文献不包含在上述规定的文献数量之内。1.6 致谢对导师和给予指导或协助完成论文工作的组织和个人表示感谢。内容应简洁明了、实事求是,避免俗套。1.7 附录如开题报告、文献综述、外文译文及外文文献复印件、公式的推导、程序流程图、图纸、数据表格等有些不宜放在正文中,但有参考价值的内容可编入论文的附录中。2、论文书写规定2.1 论文正文字数理工类 论文正文字数不少于20 000字。文管类 论文正文字数12 00020 000字。其中汉语言文学专业不少于7 000字。外语类 论文正文字数8 00010 000个外文单词。艺术类 论文正文字数3 0005 000字。2.2 论文书写本科生毕业论文用B5纸计算机排版、编辑与双面打印输出。论文版面设置为:毕业论文B5纸、纵向、为横排、不分栏,上下页边距分别为2.5cm和2cm,左右页边距分别为2.4cm和2cm,对称页边距、左侧装订并装订线为0cm、奇偶页不同、无网格。论文正文满页为29行,每行33个字,字号为小四号宋体,每页版面字数为957个,行间距为固定值20磅。页眉。页眉应居中置于页面上部。单数页眉的文字为“章及标题”;双数页眉的文字为“大学本科生毕业设计(论文)”。页眉的文字用五号宋体,页眉文字下面为2条横线(两条横线的长度与版芯尺寸相同,线粗0.5磅)。页眉、页脚边距分别为1.8cm和1.7cm。页码。页码用小五号字,居中标于页面底部。摘要、目录等文前部分的页码用罗马数字单独编排,正文以后的页码用阿拉伯数字编排。2.3 摘要中文摘要一般为300字左右,外文摘要应与中文摘要内容相同,在语法、用词和书写上应正确无误,摘要页勿需写出论文题目。中、外文摘要应各占一页,编排装订时放置正文前,并且中文在前,外文在后。2.4 目录目录应包括论文中全部章节的标题及页码,含中、外文摘要;正文章、节题目;参考文献;致谢;附录。正文章、节题目(理工类要求编写到第3级标题,即.。文科、管理类可视论文需要进行,编写到23级标题。)2.5 论文正文2.5.1 章节及各章标题论文正文分章、节撰写,每章应另起一页。各章标题要突出重点、简明扼要。字数一般在15字以内,不得使用标点符号。标题中尽量不用英文缩写词,对必须采用者,应使用本行业的通用缩写词。2.5.2 层次层次以少为宜,根据实际需要选择。层次代号格式见表1和表2。表1 理工类论文层次代号及说明层次名称示 例说 明章第1章 章序及章名居中排,章序用阿拉伯数字节1.1 题序顶格书写,与标题间空1字,下面阐述内容另起一段条1.1.1 款 题序顶格书写,与标题间空1字,下面阐述内容在标题后空1字接排项 (1) 题序空2字书写,以下内容接排,有标题者,阐述内容在标题后空1字 版心左边线 版心右边线表2 文管类论文层次代号及说明章节条款项一、 (一) 1. (1)居中书写空2字书写空2字书写空2字书写空2字书写 版心左边线 版心右边线各层次题序及标题不得置于页面的最后一行(孤行)。2.6 参考文献正文中引用文献标示应置于所引内容最末句的右上角,用小五号字体。所引文献编号用阿拉伯数字置于方括号“ ”中,如“二次铣削1”。当提及的参考文献为文中直接说明时,其序号应该与正文排齐,如“由文献8,1014可知”。经济、管理类论文引用文献,若引用的是原话,要加引号,一般写在段中;若引的不是原文只是原意,文前只需用冒号或逗号,而不用引号。在参考文献之外,若有注释的话,建议采用夹注,即紧接文句,用圆括号标明。不得将引用文献标示置于各级标题处。参考文献书写格式应符合GB77141987文后参考文献著录规则。常用参考文献编写项目和顺序应按文中引用先后次序规定如下:著作图书文献序号作者书名(版次)出版地:出版者,出版年:引用部分起止页 第一版应省略翻译图书文献序号作者书名(版次)译者出版地: 出版者,出版年:引用部分起止页 第一版应省略学术刊物文献序号作者文章名学术刊物名年,卷(期):引用部分起止页学术会议文献序号作者文章名编者名会议名称,会议地址,年份出版地,出版者,出版年:引用部分起止页学位论文

温馨提示

  • 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
  • 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
  • 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
  • 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
  • 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
  • 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
  • 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

最新文档

评论

0/150

提交评论