毕业设计(论文)-面阵电荷耦合器图像检测电路设计.doc_第1页
毕业设计(论文)-面阵电荷耦合器图像检测电路设计.doc_第2页
毕业设计(论文)-面阵电荷耦合器图像检测电路设计.doc_第3页
毕业设计(论文)-面阵电荷耦合器图像检测电路设计.doc_第4页
毕业设计(论文)-面阵电荷耦合器图像检测电路设计.doc_第5页
已阅读5页,还剩31页未读 继续免费阅读

下载本文档

版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领

文档简介

毕业设计面阵电荷耦合器图像检测电路设计II摘 要近30年来,CCD(Charge Coupled Device,电荷耦合器件)器件及其应用技术的研究取得了惊人的进展,特别是在图像传感和非接触测举领域的发展更为迅速。目前,CCD广泛应用于安全保卫系统,智能交通系统以及图像信息处理等领域,己经成为人类生活不可缺少的一项工具,与传统的摄像器件相比,CCD不仅具有体积小,重量轻,灵敏度高,可靠性好等优点,而且还具有很高的空间分辨率,性能优越的空间面阵CCD在卫星监控,空间遥感成像和对地观测等领域得到了越来越多的应用。在CCD图像检测系统设计中,CCD的驱动和视频处理电路是整个系统重要组成部分,其主要功能是使CCD器件正常工作,并将其探测到的图像信号进行采集、处理和输出。本文结合帧转移型面阵CCD的工作原理,分析了DALSA公司的FTT1010-M器件的驱动时序,设计了CCD驱动时序电路。而后,选用复杂可编程逻辑器件(CPLD,Complex Programmable Logic Device)作为硬件设计平台,使用VHDL语言对驱动时序发生器进行了硬件描述。最后,设计了CCD视频信号处理电路,采用PHILIPS公司推出的视频信号处理器TDA8783,它将相关双采样、自动增益控制、ADC模数转换等功能集成在一片芯片上,功能强大、性能优越,价格便宜,实现了高信噪比、高可靠性的CCD视频信号处理。关键词:电荷耦合器件;复杂可编程逻辑器件;驱动时序;视频处理AbstractFor the recent 30 years,the researches of Charge Coupled Device(CCD)and its application technology has made remarkable progress,especially in the areas of image sensor and non-contact measurement. As being widely used in the fields of security system,intelligent transportation system and image information processing,nowadays,CCD has become an indispensable tool in our everyday life. Compared with the traditional camera device,CCD not only has the advantage in its size,weight and high sensitivity,but also has very high spatial resolution. The special array CCD is becoming more and more useful in the fields of satellite monitoring,space remote sensing imagings and the earth observation.The design of CCDs driving circuit and video signal processing circuit is the most important part of CCD imaging system design. The main function of it is to make CCD work properly and make sure the collecting,processing and the output of the detected image signal. Combined with the working principles of frame transferring CCD,the driving sequencer of FTT1010-M(CCD Produced by Dalsa Co.Ltd)was analyzed and corresponding driving circuit was then designed. Complex Programmable Logic Device(CPLD)has been chosen as the hardware platform,and driving sequencer was realized with VHDL. CCD video signal processor TDA8783 by Philips Co.Ltd is used in video signal processing circuit design.TDA8783 provides Correlated Double Sampling(CDS),Programmable Gain Amplifier(PGA),Optical Black(OB)level auto-calibration loop,analog-to-digital conversion and other functions in one chip,so that the performance of CCD video signal processing was greatly enhanced and high Signal Noise Ratio(SNR) and excellent reliability is realized.Key words:Charge Coupled Device; Complex Programmable Logic Device; Driving Circuit; Video Processing目 录第一章 绪论11.1 引言11.2 CCD驱动电路技术11.3 本课题的主要任务21.4 论文各章节的安排2第二章 CCD图像传感器简介42.1 CCD概述42.2 CCD结构及工作原理42.2.1 CCD的MOS结构42.2.2 CCD电荷耦合器件的光电转换过程72.2.3 电荷包的转移72.2.4 面阵CCD与线阵CCD8第三章 图像传感器FTT1010-M的驱动时序电路设计93.1 FTT1010-M性能介绍93.2 FTT1010-M的结构分析93.3 FTT1010-M驱动时序的研究113.3.1 FTT1010-M的工作时序分析113.3.2 FTT1010-M驱动时序的产生及VHDL描述143.4 FTT1010-M驱动电路的研究163.4.1 模拟驱动和数字驱动163.4.2 FTT1010-M驱动电路技术参数163.4.3 EL7155C芯片介绍183.4.4 EL7155C驱动时钟电路193.4.5 转移阶段时钟的驱动203.5 偏压电路设计20第四章 图像信号处理电路的设计234.1 芯片TDA8783234.2 TDA8783时序分析234.3 TDA8783的电路设计244.4 电路工作原理:27第五章 总结31参考文献32致 谢33II第一章 绪论1.1 引言电荷耦合器件(Charge Coupled Device)简称CCD,最早出现在70年代初,由美国贝尔实验室的W.S.Boyle和G.E.Smith首先提出,后来被Amallo等人的实验所证实。CCD的优点是结构精细,体积小,坚实可靠并且在低电压下工作。经过二十多年的发展,CCD主要应用于下面两个领域,一是电子计算机或其他数字系统中用作信息存贮和信息处理;二是用于摄像装置,其作用是把光学图像转换为电子图像,再通过存贮、自扫描输出时间序列的电信号。目前,在固体摄像器中发展最快、应用最广的是电荷耦合器件。1.2 CCD驱动电路技术CCD图像传感器的基本功能是完成光信号电荷包的产生、存储、转移和输出,要想完成这一系列工作,必须要在外围驱动电路的驱动下才能实现。一般对于面阵CCD,驱动电路从功能上可以分为以下几部分:(l)时序脉冲产生电路;(2)垂直驱动电路;(3)水平驱动电路;(4)信号预处理电路,包括相关双采样,可控增益放大,模数转换电路。其中信号预处理电路也叫前后端电路(Front End),它起连接前端CCD电路和后端图像处理电路的桥梁作用,主要功能是对CCD输出的视频模拟信号进行消噪、放大和模数转换等信号预处理。时序脉冲产生电路是整个驱动电路设计的关键所在。它不仅负责产生CCD正常工作所需的驱动时序,而且信号预处理电路也需要由它来提供一些控制信号,比如嵌位和采样等脉冲信号,同时后续的图像处理、压缩、存储等工作都必须与前端CCD光信息采集和转移输出的过程同步进行,这样就需要时序脉冲产生电路来产生同步控制逻辑时序来进行协调工作。CCD驱动时序是一组周期性的,关系比较复杂的时序脉冲信号,而且具有特定的电压电平,它是直接影响CCD转换效率,信噪比等光电转换特性的一个重要因素。精确的驱动时序是CCD器件正常稳定工作的保证,所以如何设计出可靠的CCD驱动时序电路,就成为CCD应用的关键问题之一。总的来说,CCD时序脉冲产生电路的设计可以有很多种方法,主要有直接数字电路驱动法,单片机驱动法,EPROM(可擦可编程只读存储器)驱动法,可编程逻辑器件驱动法和专用IC驱动法。本论文选用了可编程逻辑器件驱动法,采用复杂可编程逻辑器件CPLD来设计时序电路。1.3 本课题的主要任务本文的主要研究内容是帧转移面阵CCD驱动时序、驱动电路和视频信号处理电路的设计。具体内容包括以下几个方面:1、时序电路的设计CCD所需要的驱动时序脉冲和后续电路所需要的各种控制信号可以通过多种途径产生,例如数字电路直接产生、单片机、EPROM等。在本课题中采用复杂可编程逻辑器件CPLD,实现各时序信号的产生。依据CCD器件对驱动波形的要求和对光电转换传感速率及信号输出速率的要求,设计时钟脉冲的波形和变化速率,并使各波形之间的逻辑关系、相位关系与CCD所要求的信号波形一致。同时提供相关双采样需要的控制脉冲、模数转换所需的采样时钟。2、驱动电路的设计驱动电路的基本功能是将输入的TTL电平的多路时钟信号转换成驱动CCD正常工作所要求的多路时钟信号,并为CCD提供多种直流偏置。时钟驱动电路的作用如下:(a)TTL电平的时钟信号转换为CCD所需的多种电平信号。(b)增大驱动功率。驱动电路的实现可以选用分离元件驱动电路,也可以选用专用集成驱动电路。本课题中采用专用CCD驱动集成电路实现电平转换、波形改造和驱动功率的提高。3、视频信号处理电路的设计视频信号处理电路的功能是对CCD输出的模拟视频进行信号处理和模数转换,将数字图像信号通过并行接口传输给USB数据采集卡处理。在本论文中采用专用视频信号处理器芯片TDA8783,它将相关双采样、可编程增益控制、ADC模数转换等功能集成在一片芯片上,功能强大、性能优越、价格便宜,使我们所设计的CCD相机朝着轻量化、小型化方向发展。1.4 论文各章节的安排论文共分为五章,第一章为引言,论述了选题的目的和意义,并对本论文的主要工作即CCD的时序设计,CCD的驱动电路设计及视频信号处理电路设计作了简要介绍。第二章为CCD图像传感器简介。主要内容包括:CCD图像传感器的结构和基本原理等。第三章介绍了图像传感器FTT1010-M的性能、结构、驱动时序分析及其时序发生器的设计。第四章介绍了用芯片TDA8783来进行视频信号处理电路的设计。第五章总结。对整个论文的内容和所做工作进行总结。第二章 CCD图像传感器简介2.1 CCD概述60年代末,美国贝尔实验室的W.S.Boyle和G.E.Smith等人在研究磁泡时,发现了电荷通过半导体势阱时会发生转移现象,他们据此提出了电荷耦合这一新概念和一维(CCD)模型,预言了CCD在信号处理、信号存储和图像传感领域中的应用前景。CCD器件有以下优点:1.体积小、重量轻、耗电少、启动快、寿命长和可靠性高;2.具有理想的“扫描”线性,便于像素寻址和改变扫描速度,畸变小,几何重现性好,故特别适用于尺寸测量、目标定位测量和图像传感等技术中;3.可以达到很高的分辨率,线阵器件已有12000像元;面阵器件已达到10K5K像元;4.CCD像元阵列几何尺寸精确,用于位置敏感探测时的定位精度和测量精度较高;5.灵敏度高,动态范围宽。在照度为10-2Lux的微光下,CCD仍能采集图像。如果采用增强型CCD(ICCD),或冷却型CCD,则CCD的灵敏度可达星光下拍摄电视甚至可检测出单个光子的超高灵敏度水平;6.有数字扫描能力,数据率可调,可与同步信号、I/O接口及计算机兼容,因而是数据存储、处理和传输的有效技术手段;CCD固体图像传感器的出现和迅速发展是光电子成像领域里的又一大突破。CCD器件的主要特性参数有:灵敏度、动态范围、量子效率、光谱特性、光子响应非均匀度、光电变换特性、分辨力、惰性、暗电流、信噪比、抗灼伤能力、工作寿命、功耗、可靠性等1。2.2 CCD结构及工作原理2.2.1 CCD的MOS结构CCD从结构上可以看作是由按照一定规律排列的光敏像元阵列组成的移位寄存器,每个像元就是一个MOS电容器(有的是光敏二极管),因此CCD也可以看作是由MOS矩阵组成的电荷耦合器件。它是在P型(或N型)Si衬底的表面上用氧化的办法生成一层厚度约1000埃1500埃的SiO2,再在SiO2表面蒸镀一层金属层(或能够透过一定波长范围光的多晶硅薄膜),并在上面加上一个电极,称为“栅极”,在衬底和金属电极间加上一个偏置电压,就构成了一个MOS电容器,其结构如图2.1(a)所示。图2.1 CCD的MOS结构图以其MOS结构中的半导体是P型为例,当在其栅极加上正偏压(N型硅则加负偏压),由此形成的电场穿过氧化物(SiO2)薄层,排斥Si-SiO2界面附近的多数载流子(空穴),留下带负电的固定不变的受主离子Na-不,形成耗尽层(无载流子的本征层),耗尽层的存在表明MOS结构存储电荷的功能。与此同时,氧化层与半导体界面处的电势(常称为表面势,用沪、表示)发生相应变化。因电子在界面处的静电势能很低,当金属电极上所加正偏压超过某一个值(阈值电压Uth)后,界面处就可存储电子,形象地说,Si-SiO2界面处形成了电子的势阱,见图2.1(b)。由于界面处势阱的存在,当有自由电子充入势阱时,耗尽层深度和表面势将随电荷的增加而减少(电子的屏蔽作用)。在电子逐渐填充势阱的过程中,势阱中能容纳多少电子,取决于势阱的“深浅”,即表面势的大小,而表面势根据栅极电压大小而定。如果没有外来的信号电荷(电注入或光注入),势阱将被热生少数载流子逐渐填满,热生少数载流子形成的电流叫“暗电流”,而热生多数载流子则可通过衬底跑掉。称此时的MOS结构达到了稳定状态(热平衡态),在稳定状态下,不能再向势阱注入信号电荷。这种情况,对探测光信号是没有用的。对于光电探测,所关心的是非稳态情况,而稳态只是非稳态情况的极限状态。下面借助能带图作进一步说明,仍以P型半导体为例,先讨论在不同偏压下处于稳定态的MOS结构。图2.2(a)是对栅极加负偏压的情况,电场排斥界面处电子而吸引空穴,电子在界面处能量增大,能带上弯,空穴浓度增加,形成多数载流子堆积层,称这种情况为“积累”。如果在栅极上加一个小的正电压,界面处电子能量降低,能带下弯,如图2.2(b)所示。空穴被电场驱向体外,在界面处留下带负电的受主离子NA-,以保住电中性。称这种多数载流子被驱逐殆尽的情况为“耗尽”。图2.2 不同偏置下理想MOS结构的能带图当逐渐增加正电压时,能带在界面处下弯更为严重。起初表面耗尽层宽度亦随电压增加,但当能带弯曲到禁带中线ET与费米能级EF相交且低于EF时,耗尽区内及表面的复合一产生中心热激发提供的电子,使界面处电子浓度急剧增加,并超过空穴浓度,形成一极薄的N型反型层,如图2.2(c)。此时,耗尽层宽度基本上不再随外加电压(栅极电压)的增加而增加,界面处电子浓度将等于衬底空穴浓度,称此时的状态为“强反型”,MOS电容器达到了热平衡态。出现“强反型”的条件为 (2.1)其中,s是表面势,相应的栅电压是MOS电容器的“阈值电压”Uth。表面势可作为势阱深度的量度,而表面势又与栅极电压UG、氧化层的厚度dox有关,即与MOS电容容量Cox与UG的乘积有关。势阱的横截面积取决于栅极电极的面积A。MOS电容存储信号电荷的容量Q: (2.2)需要指出的是,MOS电容达到热平衡状态的过程需要一定时间,这一时间常数称为存储时间T: (2.3)式中:0为耗尽区少子寿命;ni为本征载流子浓度,NA为受主浓度,T的大小取决于硅材料及其工艺水平,优质硅材料的存储时间可长达数秒到数十秒2。如果不是逐渐增加栅压,而是在0栅极上加阶梯电压UGUth(如UG=10V)。由于UG值足够大,界面处能带下弯到进入反型层,会感应出负电荷,半导体中取决于产生一复合过程的少数载流子(电子)跟不上这个变化,而多子(空穴)则能跟上。因此有N个多子(空穴),从表面处流向体内,而在表面处留下相同数目的NA-离子,形成“空间电荷区”,外加电压大部分降落在“空间电荷区”内,只有小部分降落在绝缘层上。此时,表面层虽是反型层,但电子尚未产生,实质是空的电子势阱。此时半导体处于“非平衡状态”,耗尽层宽度将超过“热平衡态”时的最大宽度,故称为“深耗尽”。在非平衡态下,如果栅极电压UG保持10V(或有外界光信号的激励),耗尽层及表面的产生一复合中心提供的电子及从周围注入的电子,将逐渐填充势阱。随着电子的逐渐填充,耗尽层将变窄,表面势将降低,势阱变浅,绝缘层上压降将增加。当电子填充使表面势s下降到2时,势阱完全填满,半导体恢复到“热平衡态”。因此,利用CCD反型前的“非平衡态”,人为地注入信号电荷(如电注入或光注入),这就是CCD的工作条件。综上所述,CCD就是在“非平衡态”下工作的MOS电容器的集成。2.2.2 CCD电荷耦合器件的光电转换过程面阵CCD电荷耦合器件是由一系列MOS电容器按一定规律排列而成的,每个MOS电容器相当于一个光电传感器,一组MOS电容器对应一个像素,一组组MOS电容器完成各个像素的光电转换过程。MOS电容器是在P型硅上覆盖一层氧化硅薄膜作为绝缘层,再在氧化硅上淀积一个透明的金属电极而形成的。当在P型硅与透明金属电极间加上一个电压(P型硅连电源负极)时,在电场力的作用下,P型硅中靠近透明金属电极处形成一个耗尽区,从而得到一个存储少量电荷的势阱。当波长小于1000nm的光通过透明电极照射在P型硅上时,可以激发出电子-空穴对,在耗尽区电场作用下,电子被收入势阱中形成电荷包,光照越强,激发的电子-空穴对就越多,势阱中所收集的电子数就越多3。2.2.3 电荷包的转移在CCD电荷耦合器件中,电荷包被合理地转移,才能形成连续的电信号。图2.3所示为一行MOS电容器,在这里把一行MOS电容器分成3组,分别给每组加上相位不同的驱动脉冲V1,V2和V3。各脉冲的相位和波形如图2.4所示。在t1时刻,V1为高电位,V2和V3为低电位,具有V1电位的各电极下形成较深的势阱。当硅晶体被景物光投射时,激发电子-空穴对,少数载流子在电场力作用下被收集到势阱中,形成电荷包。势阱中所捕获的电荷数目多少,与该处投射光的强弱成正比。在t2时刻,V1电位开始下降,V2电位上升,电荷包从电位为V1的电极向电位为V2的电极转移,t3时刻转移完毕。在t4时刻,V2开始下降,V3开始上升,电荷包从电位为V2的电极向电位为V3的电极转移如此反复进行,电荷包便在一行MOS电容器中从左向右转移。这种三相驱动的方式最为简单。若用二相驱动方式,转移较快,但不可靠。四相驱动方式较为可靠,但转移较慢。图2.3 MOS电容器行 图2.4 驱动脉冲的波形2.2.4 面阵CCD与线阵CCD就目前而言,CCD光敏元件主要有两种类型,一是线性CCD,一是矩阵性CCD(亦称面阵CCD)。其中线性CCD用于高分辨率的静态照相机,它每次只拍摄图象的一条线,这与平板扫描仪扫描照片的方法相同。这种CCD精度高,速度慢,无法用来拍摄移动的物体,也无法使用闪光灯。因此在很多场合不适用。矩阵式CCD,它的每一个光敏元件代表图象中的一个像素,当快门打开时,整个图象一次同时曝光。通常矩阵式CCD用来处理色彩的方法有两种。一种是将彩色滤镜嵌在CCD矩阵中,相近的像素使用不同颜色的滤镜。典型的有G-R-G-B和C-Y-G-M两种排列方式。这两种排列方式成像的原理都是一样的。在记录照片的过程中,将相邻的四个点合成为一个像素点。该方法允许瞬间曝光,微处理器能运算地非常快。这就是大多数数码相机CCD的成像原理。因为不是同点合成,其中包含着数学计算,因此这种CCD最大的缺陷是所产生的图象总是无法达到如刀刻般的锐利。另一种是使用三棱镜,它将从镜头射入的光分成三束,每束光都由不同的内置光栅来过滤出三原色的某一种,然后使用三块CCD分别感光。这些图象再合成出一个高分辨率、色彩精确的图象。如300万像素的相机就是由三块300万像素的CCD来感光。也就是可以做到同点合成,因此拍摄的照片清晰度相当高。该方法的主要困难在于其中包含的数据太多。在你照下一张照片前,必须先将存储在相机的缓冲区内的数据清除,然后再存盘。因此这类相机对其他部件的要求非常高,其价格自然也非常昂贵。无论是线阵还是面阵CCD,两者都需要用光学成像系统将图像成像在CCD的像敏面上。像敏面将入射到每个像敏单元的光照度分布信号Ex,y转变成少数载流子密度分布信号Nx,y,存储到像敏单元(MOS电容)中。然后,再通过驱动脉冲的驱动,使其从CCD的移位寄存器中转移出来,形成一定时序输出的视频信号。对于线型器件,它可以将直接接收到的一维光信号转换成时序的电信号输出,获得一维的图像信号。若想用线阵CCD获得二维图像信号,必须使线阵CCD与二维图像做相应的扫描运动,所以用线阵CCD对匀速运动物体进行扫描成像是非常方便的。现代的扫描仪、传真机、高档复印机和航空图像扫描系统等都采用线阵CCD为图像传感器。而面阵CCD是二维的图像传感器,它可以直接将二维图像转变为视频信号输出。要实现对面阵CCD输出图像信号的采集,就必须掌握面阵CCD的工作原理。对于面阵CCD,它按照一定的方式将一维线型CCD的光敏单元及移位寄存器排列成二维阵列,即可以构成二维面阵CCD。由于排列方式不同,面阵CCD常有帧转移方式、隔列转移方式、线转移方式和全帧转移方式。第三章 图像传感器FTT1010-M的驱动时序电路设计3.1 FTT1010-M性能介绍FTTI010-M是一款帧转移型面阵CCD图像传感器。该款CCD传感器的特性及性能参数如表3.1所示:光敏面尺寸12.288mm12.288mm像素尺寸12m12m有效像素10241024动态范围72dB数据率240MHZ封装80管脚PGA(a) 1英寸光学结构 表3.1 器件性能参数(b) 1M有效像素(c) 逐行扫描 (d) 可变光学快门(e) 正方形的像素结构(f) 水平和垂直合并(g) 100%光学填充因子(h) 高灵敏度(i) 低的暗电流和固定图像噪声(j) 低的读出噪声(k) 镜像和分割输出 3.2 FTT1010-M的结构分析如图3.1所示,FTT1010-M由成像区和存储区构成,成像区和存储区都由1072H1030V个像素组成。在成像区中,每个像素单元在垂直方向上可以看作是被四相栅极时钟Al、A2、A3、A4覆盖的相互连接的四个MOS电容器共同组成。在1024个有效像素行的上面分别分布着6个黑行(BlackLines),黑行其实就是被遮蔽的不参与光积分的像素行;在水平方向上,每行1072个像素中的有效像素(Active Pixels)为1024个,两边分布着220个黑像素(Black Pixels)和24个过渡像素(Overscan Pixels),黑像素与黑行一样也是被遮蔽的像素单元,这些单元都不参加光积分,没有光电荷包产生,其主要作用是对CCD的输出视频信号进行预处理时起参考黑电平作用。在存储区中,每个像素单元在垂直方向上可以看作是被四相栅极时钟Bl、B2、B3、B4覆盖的相互连接的四个MOS电容器共同组成。在1024个有效像素行的下面分别分布着6个黑行(Black Lines),每行1072个像素中的有效像素(Active Pixels)为1024个,两边分布着220个黑像素(Black Pixe1s)和24个过渡像素(Overscan Pixels)。在存储区水平方向上分布着两个串行输出移位寄存器(Output Register),寄存器内部并没有感光单元,只有用来传输转移感光电荷包的遮蔽的转移单元,每个单元相当于被三相时钟C1、C2、C3覆盖,这些单元都和存储区的像素单元相互衔接对应。其主要作用是将输出放大器连接到水平输出移位寄存器上,因为水平输出是以输出这些额外的哑像素开始的,这样就可以在有效像素被信号预处理电路采样前给输出放大器充足的时间来进入稳定状态。在每个输出寄存器的哑像素的最外端分布着两个MOS单元,每个单元的栅极上分别加着求和栅SG(Summing Gate)和输出栅OG(Output Gate),OG是感光电荷包在进入输出放大器之前的最后一个栅。在每个输出寄存器的左右两端分别分布着两个输出放大缓冲器(Output amplifier and buffer)。为了使FTT1010-M正常工作,需要为其提供一些偏置电压和驱动时钟,下面先介绍一下这些电压与时钟,并给出本设计所要求的数值:VNS(Voltage N-substrate):加在FTT1010-M的N型衬底上的电压,电压范围为+18V+28V,它可以用来控制CCD的高光行为(抗晕),因为CCD像素单元中的感光电荷包不仅要受到栅极电压的影响,还要受到N衬底电压的影响,所以它的取值很关键,当VNS值较大时,CCD的抗晕能力提高,但是会影响到势阱的饱和电荷量,如果VNS值比较小,则势阱饱和电荷量增加,动态范围提高,但是抗晕能力会减弱,因此选取合适的VNS值对CCD的工作效果起到很重要的作用,可以根据需要来进行调节,在两者之间找到很好平衡点。VSFD(Voltage Source Follower Drain):加到FTT1010-M的输出放大器中三级源级跟随器和输出射级跟随器漏极上的直流供电电压,同时也为其它直流偏置电压和驱动脉冲电压提供分压,电压范围为+16V+24V。VRD(Voltage Reset Drain):加到FTT1010-M输出放大器内复位管(Reset fet)漏极上的直流复位电压,其值等于CCD输出信号的复位电压水平,电压范围为+13V+18V。VOG(Voltage Output Gate):加在输出栅OG上的直流电压,电压范围为+4V+8V。VPS(Voltage P Substrate):连接到P掺杂基底(P-doped substrate)上的直流电压,电压范围为+1V+7V。CR(Charge Reset):电荷复位脉冲,用于控制势阱内感光电荷的积累,进而控制光积分的时间,也被称为电子快门。A-Clock:成像区驱动时钟,有A1、A2、A3、A4四个驱动时钟,在感光阶段高位电压为+10V,转移阶段高位电压为+14V。B-Clock:存储区驱动时钟,有B1、B2、B3、B4四个驱动时钟,在感光阶段高位电压为+10V,转移阶段高位电压为+14V。C-Clock:水平移位寄存器驱动时钟,有C1、C2、C3三个驱动时钟,低位电压大于+2V,电压脉冲幅度范围为+4.75V+5.25V。图3.1 FTT1010-M的结构示意图3.3 FTT1010-M驱动时序的研究3.3.1 FTT1010-M的工作时序分析FTT1010-M的工作时序从功能上可以分为帧转移时序(Frame timing),行转移时序(Line timing),像素水平读出时序(Pixel timing)。帧转移时序指CCD将一帧图像转移输出的时序,行转移时序指一行像素在时钟的驱动下完成从存储区到水平移位寄存器转移和逐位从水平移位寄存器读出的时序,像素转移时序指在一行像素在C时钟驱动下从水平移位寄存器中逐位水平读出的时序。图3.2 FTT1010-M帧转移时序图一帧CCD图像转移输出可分为两个阶段:感光阶段和转移阶段。感光阶段实现感光阵列的电荷积累,存储区到转移寄存器的电荷转移以及转移寄存器向输出放大器的电荷输出;转移阶段主要完成感光阵列所积累的电荷向帧存储区的转移。在感光阶段,感光阵列接受外界光源照射产生电荷,帧转移控制信号Al、A2、A3、A4不变,感光区和存储区之间为阻断态,没有电荷进行转移;同时存储区处于行转移状态,行转移的过程可分为行正程和行逆程两个阶段。图3.3 FTT1010-M行转移时序图图3.4 FTT1010-M水平移位寄存器像素转移时序图当SSC为高位时,行转移处在行逆程状态;当SSC为低位时,行转移处在行正程状态。在行逆程阶段,帧存储区各单元所存的信号电荷在行转移信号B1、B2、B3、B4控制下向水平移位寄存器方向平移一行,像元控制信号Cl、C2、C3不变,无像元信号输出;在行正程阶段,水平移位寄存器中的像元电荷在像元控制信号Cl、C2、C3的控制下逐次经过输出放大器输出,每读出一行信号,进行一次行转移,对于10241024阵列的CCD芯片来说,至少需要1024个SSC时钟脉冲完成一帧图像的转移,而每一个SSC低电平至少需要1072个Cl、C2、C3互补读出时钟脉冲完成一行图像的读取,同时为保证信号电荷完整转移,各相时序间必须保证一定的电平交叠。图3.5 转移阶段时序图在转移阶段,帧转移控制信号Al、A2、A3、A4分别与行转移控制信号Bl、B2、B3、B4相同,且一直有效。像元控制信号Cl、C2、C3无效,不输出数据。行像素在A、B驱动时钟的控制下完成从成像区到存储区的转移。为保证信号电荷完整转移,各相时序间也保持了一定的电平交叠。高速CCD摄像机的驱动时序关系实质上是多重嵌套的循环。其中,感光阶段和转移阶段构成了时序循环的外环,一次循环代表了一帧图像从曝光到转移的全部过程;感光阶段存储区的逐行转移构成了时序循环的中环,一次循环结束表明CCD感光阶段结束;而在行转移信号结束后,一行图像的元素逐列输出,构成了时序循环的内环,一个循环周期代表一帧图像中一行像素的转移。各循环之间采用计数器进行控制。整体的循环过程构成了系统的工作流程。3.3.2 FTT1010-M驱动时序的产生及VHDL描述在应用CCD图像传感器进行电子学系统设计时,首先要解决的问题就是CCD驱动电路的设计。为了保证CCD稳定可靠的工作,必须设计出符合CCD正常工作所要求的驱动脉冲和控制电路。只有在合适的时序驱动下,CCD芯片的转换效率、信噪比等光电转换特性,才能达到器件工艺设计所规定的最佳值,输出稳定可靠的视频信号。因此,产生严格的CCD芯片驱动时序,是成功设计CCD图像传感系统的先决条件。FTT1010-M是一款面阵帧转移型CCD,本设计选用复杂可编程逻辑器件(CPLD)作为硬件设计平台,使用VHDL语言对驱动电路方案进行了硬件描述4。复杂可编程逻辑器件包括逻辑阵列块(LAB)、可编程宏单元、扩展乘积项(共享和并联)、可编程连线阵列、I/O控制块五部分。器件集成度远高于PAL等传统的PLD器件,并在速度上有一定的优势,在可编程逻辑集成开发环境中,可采用原理图输入方式、文本设计输入方式和波形设计输入方式等多种方法建立设计输入。通过前、后仿真,检查错误,进行逻辑综合并选择器件适配。使用CPLD产生时序信号的方法,大大减小了电路板的体积,缩短了器件延时,降低了电路功耗,增加了可靠性。该方法在原理图设计阶段就可以掌握电路正确与否,设计灵活,调试方便,提高了效率,节约了成本。本系统的驱动时序是在可编程逻辑集成开发环境下,采用文本方式建立设计输入,使用VHDL作为硬件描述语言,对各路驱动信号波形进行描述的5。程序首先在ENTITY内定义时序发生器的两个输入端,即时钟输入(CLK),复位信号(RESET),十三个输出端,即CCD驱动时序所要求的十三个输出信号。CCD的驱动时序通过内外两个循环嵌套系统实现,在一帧图像从曝光到转移的过程中,感光阶段与转移阶段构成了一个外循环;在一行像元信号逐次经过输出放大器输出的过程中,行正程与行逆程构成了一个内循环。两个循环过程均通过对输入主时钟(CLK)进行分频、计数来产生。CCD驱动时序发生器逻辑结构如图3.6所示。整个程序是一个多进程结构,分别是主计数器进程;行转移计数器进程;感光阶段判断信号进程;转移阶段判断信号进程;行正程判断信号进程;行逆程判断信号进程;十三个输出信号驱动时序产生进程。每个输出信号的时序关系都由一个进程来实现。当给定某个帧频和积分时间时,在外循环中,用主计数器计一帧图像从曝光开始到转移结束所需要的时间,并通过主计数器得到感光阶段判断信号和转移阶段判断信号;在内循环中,用行转移计数器计一行像元信号输出所需要的时间,并通过感光阶段判断信号与行转移计数器得到行正程判断信号和行逆程判断信号。十三个输出信号分别在各自的进程中通过调用前几个进程所得到的结果来实现CCD器件所要求的时序关系。以像元控制信号C1为例,C1在行正程阶段控制像元电荷逐次经过输出放大器输出,其余时问里C1是一个不变的信号。在C1的进程中,先由行正程判断信号确定C1何时处于行正程状态,再由主时钟和行转移计数器得到C1所要求的时序关系。输入主时钟帧转移进程行转移进程感光阶段判断信号进程行转移阶段判断信号进程行正程判断信号进程行逆程判断信号进程输出信号产生进程输出信号产生进程图3.6 CCD驱动时序发生器逻辑结构以下为每个状态的大致流程:(采用VHDL代码)a)RESET CCD;b)Intergration time;c)Parallel transfer;d)Clock line down*1030(lines)Begine)Pipeline progress*1072(pixels)begin1. clock pixel data from serial register2. sample3. digitize4. PLD read5. Memory storeEndEndf)transfer data to UC*(10301072) begin1. Memory read2. UC readEndg)Done3.4 FTT1010-M驱动电路的研究3.4.1 模拟驱动和数字驱动要使CCD器件完成成像功能,必须对其进行模拟驱动和数字驱动。模拟驱动是根据器件手册要求,对CCD相应的管脚提供直流偏压并为CCD输出放大器提供电源。因为CCD器件本身抑制由VNS、SFD和VRD等管脚引入的噪声能力差,即总有感应噪声幅度的50%会残留在输出端,所以供给器件的各直流输入电压必须相当稳定且要全部去耦到地。提高VNS、SFD等供电电压可以扩展器件动态范围,但功耗也随之增加。因此,偏置电压的供电电压要综合考虑之后选取合适值。必须指出,所有的直流供电不能采用电阻分压方式,其原因是电阻的热噪声大,而且电阻分压点的电压随电流变化而变化,造成电压波动及引入噪声。由于CCD芯片上的输出放大器被设计成只具有驱动低电容和高阻抗负载的能力,因此,在CCD输出端必须接一个射级跟随器,用作CCD输出放大器与负载之间的隔离、缓冲及阻抗变换6。数字驱动是根据手册要求对CCD的管脚提供不同频率、不同幅度的多种时钟驱动信号,以控制CCD器件的积分时间、电荷转移速率及输出放大器的复位。时钟输入应满足以下要求:1.宽范围容性负载驱动能力。CCD器件各时钟输入脚呈容性且电容量差异很大(最小的只有200pF,最大的为3nF内,因此,时钟驱动要有驱动宽范围200pF3nF容性负载的能力。2.时钟上升/下降时间要适当减缓,过快的上升/下降时间虽可提高电荷转移效率,但会使耦合到输出信号中的时钟干扰幅度增加。3.读出移位寄存器时钟C1、C2、C3,应是占空比为50%、幅度相等、频率相同且相位交叠的信号。3.4.2 FTT1010-M驱动电路技术参数脉冲驱动电路是时序电路与CCD图像传感器之间的电子接口,它把各路时序信号TTL电平转变成CCD正常工作所要求的幅度。CCD芯片FTT10l0-M正常工作需要的驱动脉冲有13个,所需的驱动电压的幅度和频率分别如表3.2所示。表3.2 FTT1010-M的幅度和频率设定表AC CHARACTERISTICSMIN.TYPICALMAX.UNITHorizontal frequency(1/Tp)101840MHZVertical frequency04501000KHZChange Reset(CR) time25sRise and fall times:image clocks(A)1020nsstorage clocks(B)1020nsregister clocks(C)2351/6Tpnssumming gale(SG)351/6Tpnsreset gate(RG)351/6TpnsAC CLOCK LEVEL CONDITIONSIMAGE CLOCKS:A-clock amplitude during integration and hold810VA-clock amplitude during vertical transport1014VA-clock low level0VCharge Reset(CR)level on A-clock-5-5VSTORAGE CLOCKS:B-clock amplitude during hold810VB-clock amplitude during vertical transport1014VOUTPUT REGISTER CLOCKS:C-clock amplitude4.7555.25VC-clock low level23.5VSumming Gate(SG)amplitude1010VSumming Gate(SG)low level3.5VOTHER CLOCKS:Reset Gate(RG)amplitude51010VReset Gate(RG)low level3VCharge Reset(CR)pulse on Nsub01010VFTT1010-M有13路驱动时钟,其中,A1、A2、A3、A4为感光区驱动时钟;B1、B2、B3、B4为存储区驱动时钟;C1、C2、C3为水平寄存器读出时钟;SG为求和时钟;RG为复位时钟。3.4.3 EL7155C芯片介绍在本设计方案中,对水平寄存器读出时钟C1、C2、C3、求和时钟SG和复位时钟RG而言,上升时间和下降时间最好能控制在30ns左右。而这几个驱动时钟输入端近200pF的等效电容对驱动信号而言是个很重的负载,要求驱动器具有大的电流驱动能力。因此,这几个驱动时钟对驱动器的响应速率和驱动能力有相当高的要求,我们选用了ELANTEC公司生产的专用CCD时钟驱动芯片EL7155C作为水平寄存器读出时钟C1、C2、C3、求和时钟SG和复位时钟RG的驱动器件。EL7155C是高性能引脚驱动器。它有两个模拟开关,分别连接VH和OUTH,VL和OUTL。根据输入引脚IN的值,其中一个开关将会断开,而另外一个导通。同时对导通的开关输出使能。由于EL7155C的拓扑结构,VL端应该和地或者负电压相连,VH端则被连接任何正电压。EL7155C的引脚排列及主要技术指标如下:表3.3 EL7155C引脚介绍PinNameFunction1VS+Positive Supply Voltage2OEOutput Enable3INInput4GNDGround5VLNegative Supply Voltage6OUTLLower Switch Output7OUTHUpper Switch Output8VHUpper Output Voltage(a) 输入电平:与TTL兼容(b) 导通电阻:3.5(c) 峰值输出电流:3.5A(d) 升降时间:15ns2000pF(e) 电源电压:4.516.5V(f) 最高频率:40M(g) 电源输出电流:0.12.0mA3.4.4 EL7155C驱动时钟电路图3.7 EL7155C驱动C时钟电路由图3.7可知,水平读出时钟C的低电平不是零,而是一个大于+2V的电平,在本设计方案中选取了+3V作为C时钟的低电平值,即水平读出时钟C是一个低电平为+3V,高电平为8V的脉冲,而CPLD输出的时钟脉冲是低电平为零,高电平为+5V的脉冲9。因此,在由CCD时钟驱动芯片对CPLD输出的时钟脉冲进行驱动之后,还需要把脉冲拉高。本设计方案采用嵌位电路的耦合作用来提高脉冲电平,具体电路由图3.8所示,通过快速二极管1N4148与100K的电阻并联的电路来进行耦合,其中100K的电阻还可以起到消噪的作用。图3.8 嵌位电路驱动时钟SG与RG的实现过程大致和C时钟的相同,不同的是SG与RG的脉冲幅度为10V,因此加在EL7155C引脚VH的电压为+10V,如图3.9所示。 图3.9 EL7155C驱动SG时钟电路3.4.5 转移阶段时钟的驱动其余8个转移阶段驱动时钟脉冲选用的驱动器为MAXIM公司的MAX44268。MAX4426是一种高速MOSFET驱动器,它将TTL输入转换为高电压输出。MAX4426的主要技术指标如下:(a) 输入电平:与TTL兼容(b) 导通电阻:4(c) 峰值输出电流:1.5A(d) 升降时间:20ns1000pF(e) 电源输出电流:0.21.8mA(f) 电源电压:4.518V(g) PDIP(TA=70)单片功耗:727mW(h) 闩锁保护:能承受500mA的反向电流驱动芯片MAX4426驱动A时钟的电路如图3.10所示,加在VDD(引脚6)上的电压V_A是由模拟开关ADG419产生的不断在+10V与+14V转换的电压;加在GND(引脚3)上的电压V_AL是一个在电子快门驱动信号控制下由模拟开关ADG419产生的不断在0V与-5V之间转换的电压。图3.10 MAX4426驱动A时钟电路3.5 偏压电路设计要使CCD芯片正常工作,首先需要向CCD提供使其正常工作所需的电压。FTT1010-M所需的偏置电压有VSFD、VNS、VPS、VRD、VOG,它们的参数如表3.4所示。表3.4 FTT1010-M偏置电压参数表ABSOLUTE MAXIMUM RATINGSMIN.MAX.UNITGENERALStorage temperature-55+80Ambient temperature during operation-40+60Voltage between any two gates-20+20VDC current through any clock phase-0.2+2.0AOUT current(no short circuit protection)010mAVOLTAGES IN RELATION TO VPSVNS、SFD、RD-0.5+30VVCS、SFS-8+5VAll other pins-5+25VVOLTAGES IN RELATION TO

温馨提示

  • 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
  • 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
  • 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
  • 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
  • 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
  • 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
  • 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

评论

0/150

提交评论