EDA技术及应用设计报课程设计-基于FPGA的正弦函数发生器的实现.doc_第1页
EDA技术及应用设计报课程设计-基于FPGA的正弦函数发生器的实现.doc_第2页
EDA技术及应用设计报课程设计-基于FPGA的正弦函数发生器的实现.doc_第3页
EDA技术及应用设计报课程设计-基于FPGA的正弦函数发生器的实现.doc_第4页
EDA技术及应用设计报课程设计-基于FPGA的正弦函数发生器的实现.doc_第5页
已阅读5页,还剩11页未读 继续免费阅读

下载本文档

版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领

文档简介

宜兴工程学院,宜兴工程学院, 电气与电子信息工程学院电气与电子信息工程学院 EDAEDA 技术及应用技术及应用 设计报告设计报告 名名 称:称: 基于基于 FPGAFPGA 的正弦函数发生器的实现的正弦函数发生器的实现 (100KHZ-200KHZ,100KHZ-200KHZ,步进为步进为 1KHZ1KHZ) 专业名称:专业名称: 电气工程及其自动化电气工程及其自动化 班班 级:级: 1111 级电气(级电气(1 1)班)班 学学 号:号: 20114022012011402201 姓姓 名:名: 同同 组组 人:人: 指导教师:指导教师: 设计时间:设计时间: 2014 年 9 月 15 日9 月 26 日 设计地点:设计地点: 3 3 号楼号楼 404404 教室教室 任务书任务书 设计题目:基于 FPGA 的正弦函数发生器的实现(100KHZ-200KHZ,步进为 1KHZ)教学院:电气学院 专业班级:电气工程及其自动化(1)班 学生姓名: 学号: 2011402201 指导教师: 邓彬伟 1主要内容 1.利用 EDA 开发系统、Quartus II 软件实现数字信号发生器的设计; 2.根据整体电路的工作原理,完成各个子模块的设计及实现; 3.该数字信号发生器能够产生余弦信号; 4.产生的波形信号频率可通过按键进行调节,调节频率在 100KHZ-200KHZ,步 进为 1KHZ。 2基本要求 设计报告:不少于 5000 字,幅面,统一复印封面。 封面、设计任务书 目录 1)系统设计原理说明及实现方案论证;(综述、任务详解及设计思路等) 2)系统硬件设计; 3)系统软件设计; 4)系统调试;(调试步骤、方法及调试过程中的问题及如何解决等) 5)结果分析及展望;(最后的结果成功点和不足之处、总结及改进等) 附录-参考文献 3进度安排 设计各阶段名称起 止 日 期 1查阅 DDS 原理相关资料2014.9.15 - 2014.9.16 2讲解 DDS 原理,verilog 程序语言等2014.9.17 - 2014.9.18 3锁存器原理与数码显示程序的讲解2014.9.19 - 2014.9.22 4硬件与软件设计,程序调试,撰写报告2014.9.23 - 2014.9.24 5完善报告,答辩2014.9.25 - 2014.9.26 4、设计考核办法与成绩评定 根据过程、报告、答辩等确定设计成绩,成绩按得分 0100 分,可分为优、良、中、 及格、不及格五等。 评定项目 基本内涵分值 设计考勤 考勤、自行设计、按进度完成任务等情况10 设计调试 软硬件调试过程及完成情况50 设计答辩 回答问题等情况10 设计报告 完成情况、报告规范性、创新性、雷同率等情况30 90100 分:优;8089 分:良;7079 分:中;6069 分,及格;60 分以下:不及 格 5主要参考文献 1谭会生,张昌凡等. EDA 技术及应用(第二版M). 西安:西安电子科技大学出版社, 2004. 2李国丽,朱维勇,栾铭.EDA 与数字系统设计M.北京:机械工业出版社,2004.1.4-10. 3薛文.DDS 任意波形发生器的设计与实现D:硕士学位论文.南京.南京理工大学,2004 4高琴,姜寿山,魏忠义.基于 FPGA 的 DDS 信号源设计与实现J.西安工程科技学院学报, 2006. 7杨丽,李镇,孙厚军.基于 FPGA 的多波形信号发生器J.无线电工程,2005,35(7):46-48. 8洪嘉,彭启琮,基于 FPGA 的数字中频信号发生器硬件设计J.信息技术,2005. 9杜培明.基于 FPGA 动态信号产生器设计J.现代电子技术,2006. 10莫小灵.正弦信号发生器的 FPGA 实现J.新余高专学报,2006. 11Sergio Franco. Design with Operational Amplifiers and Analog Integrated Circuits. 西安: 西安交通大学出版社,2004. 教研室主任:教研室主任: 胡学芝胡学芝 2014 年年 9 月月 1 日日 - 1 - 目录目录 摘要.2 1 设计原理及要求 .3 2 设计论证方案 .4 方案一.4 方案二.4 方案三.4 方案确定 .5 3 硬件部分.5 输入部分 .5 4 软件部分.5 余弦波数据获取 .6 输出波形频率.6 5 系统调试 .7 仿真结果 .7 仿真结果分析 .7 结果展望.7 总结.8 主要参考文献.9 附录.10 - 2 - 基于基于 FPGAFPGA 的正弦函数发生器的实现的正弦函数发生器的实现 (100KHZ-200KHZ(100KHZ-200KHZ,步进位,步进位 1KHZ1KHZ ) ) 摘要摘要 信号发生器是一种常用的信号源,和示波器、电压表、频率计等仪器一样 是最普遍、最基本也是应用最广泛的的电子仪器之一,几乎所有电参量的测量 都要用到波形发生器。不论是在生产还是在科研与教学上,波形发生器都是电 子工程师信号仿真试验的最佳工具。随着现代电子技术的飞速发展,现代电子 测量工作对波形发生器的性能提出了更高的要求,不仅要求能产生正弦波、方 波等标准波形,还能根据需要产生任意波形,且操作方便,输出波形质量好, 输出频率范围宽,输出频率稳定度、准确度及分辨率高,频率转换速度快且频 率转换时输出波形相位连续等。而传统波形发生器采用专用芯片,成本高,控 制方式不灵活,已经越来越不能满足现代电子测量的需要,正逐步退出历史舞 台。可见,为适应现代电子技术的不断发展和市场要求,研究制作高性能的任 意波形发生器十分有必要,而且意义重大。 本文所设计的内容就是基于 Altera 公司的现场可编程门阵列(FPGA)实现 数字信号发生器的设计,FPGA 具有密度高,功耗低,体积小,可靠性高等特点, 设计时可以不必过多考虑具体硬件连接。 采用 FPGA 现场可编程门阵列为控制核心,通过硬件描述语言 Verilog 编程, 在 QuartusII 仿真平台上编译、仿真、调试 ,并下载到 FPGA 芯片上,通过严 格的测试后,能够较准确地测量余弦信号的频率,而且还能对其他多种物理量 进行测量和调节,使输出余弦波形的变化频率在 100KHZ200KHZ,步进为 1KHZ。 关键词:硬件描述语言. 现场可编程门阵列, 频率计, 频率测量 - 3 - 1.1.系统设计原理及要求系统设计原理及要求 该数字信号发生器系统主要由输入部分、FPGA 部分、频率调节和波形仿真 部分组成。如图 1.1 所示。 图 1.1 数字信号发生器系统组成 本设计中利用 Verilog 编程,依据基本数字电路模块原理进行整合。系统各 部分所需工作时钟信号由输入系统时钟信号经分频得到,系统时钟输入端应满 足输入脉冲信号的要求。具备频率可调功能,频率通过两个按键可以增减调节。 主要通过 VerilogL 语言实现频率控制、波形控制、 波形数据的提取、 波 形的产生工作。其中 ,波形数据运用 Verilog 语言编写 。控制部分主要采用产 生高低电平的拨码开关控制。程序下载到 FPGA 上实现 ,通过 Altera 公司 QuartusII 软件进行波形的仿真,从而完成整个设计。 本设计的任务是设计一个基于 FPGA 的数字信号发生器,根据设计达到以下 要求: 1.利用 EDA 开发系统、Quartus II 软件实现数字信号发生器的设计; 时钟 分频器 复位 波形 调频 系 统 控 制 器 余弦波仿真 - 4 - 2.根据整体电路的工作原理,完成各个子模块的设计及实现; 3.该数字信号发生器能够产生余弦信号; 4.产生的波形信号频率可通过按键进行调节,调节频率在 100KHZ-200KHZ,步 进为 1KHZ。 2.2.设计方案论证设计方案论证 方案一方案一 采用 DDS(直接数字频率合成器)来设计,设计总体框图如图 2 所示。在 设计界里众所周知,DDS 器件采用高速数字电路和高速 D/A 转换技术,具有频 率转换时间短、频率分辨率高、频率稳定度高、输出信号频率和相位可快速程 控切换等优点,所以,我们可以利用 DDS 具有很好的相位控制和幅度控制功能, 另外其数据采样功能也是极具精确和完善的,它可以产生较为精确的任何有规 则波形信号,可以实现对信号进行全数字式调制。用 FPGA 和 DDS 实现信号调 制,既克服了传统的方法实现带来的缺点,若采用它来编程设计,必定会事半 功倍,且使设计趋于理想状态。但鉴于 DDS 的占用 ROM 空间较大,我们设计 时就必须考虑到所用期间的 ROM 空间是否够用,结合我选用的 Cyclone II 系 列的 EP2CE6F17C8 器件所提供的的存储单元。应该可以满足本次设计的需要。 方案二方案二 采用震荡器频率合成方案。具体方案如下:首先通过频率合成技术产生所 需要频率的方波,通过积分电路就可以得到同频率的三角波,再经过滤波器就 可以得到正弦波。其优点是工作频率可望做得很高,也可以达到很高的频率分 辨率;缺点是使用的滤波器要求通带可变,实现很难,高低频率比不可能做得 很高。 方案三方案三 采用 Verilog 语言来编程,然后下载文件到 FPGA 来实现。VHDL 语言是 电子设计领域的主流硬件描述语言,具有很强的电路描述和建模能力,能从多个 层次对数字系统进行建模和描述,从而大大降低了硬件设计任务,提高了设计 效率和可靠性,要比模拟电路快得多。该方案是利用 FPGA 具有的静态可重复编 - 5 - 程和动态在系统重构的特性,使得硬件的功能可以像软件一样通过编程来修改, 极大地提高了电子系统设计的灵活性和通用性,而且大大缩短了系统的开发周 期。 方案确定:方案确定: 由上述三个方案对比,采用第一种方案: 因为 DDS 频率合成器具有以下优点: (1)频率分辨率高,输出频点多,可达 2N 个频点(假设 DDS 相位累加器的字长 是 N);(2)频率切换速度快,可达 US 量级;(3)频率切换时相位连续;(4)可以输 出宽带正交信号;(5)输出相位噪声低,对参考频率源的相位噪声有改善作用; (6)可以产生任意波形;(7)全数字化实现,偏于集成,体积小,重量轻。 使用直接数字信号合成技术(DDS) ,将三种波形的数据存储在 FPGA 配置 的 ROM 中,通过 Altera 公司 QuartusII 软件进行波形的仿真,从而完成整个 设计。这种方法在软、硬件电路设计上都简单,且与我们的设计思路紧密结合。 3.硬件部分硬件部分 本设计使用的 FPGA 芯片为 EP3CE6F17C8 芯片,通常情况下在硬件调试的过 程中一般使用下载电缆进行下载,而当调试完成以后要用配置芯片对 FPGA 进行 配置。配置芯片在每次系统上电以后自动将配置文件加载到 FPGA 中形成电路。 输入部分输入部分 输入部分包含以下功能按键:时钟、复位、波形、调频。 1时钟:标准的 50MHZ 时钟输入。 2复位:低电平复位。 3波形:为波形输出选择开关,可以选择单波形的输出。 4调频:可以递增和递减仿真余弦波的频率。 - 6 - 4.4.软件部分软件部分 本次设计的软件部分主要运用 Altera 公司的 Quartus软件平台,其开发 流程基本分成 2 个步骤: 1.设计输入 Quartus软件的设计文件可以来自 Quartus5.1 设计输入工 具或各种工业标准的 EDA 设计输入工具 Quartus强大的集成功能允许信息在 各种应用程序间自由交流,设计者可在一个工程内直接从某个设计文件转换到 其他任何设计文件,而不必理会设计文件是图形格式、文本格式,还是波形格 式。Quartus具有如下的多种设计输入方法:原理图输入与符号编辑、硬件描 述语言、波形设计输入、平面图编辑以及层次设计输入。如此众多的设计方法 帮助设计者轻松地完成设计输入。 2.项目处理 Quartus处理一个设计时,软件编译器读取设计文件信息, 产生用于器件编程、仿真、定时分析的输出文件。消息处理器可以自动定位编 译过程中发现的错误,编译器还可以优化设计文件。项目处理包括以下基本步 骤: (1)消息处理器自动定位错误; (2)逻辑综合与试配; (3)定时驱动编译; (4)设计规则检查; (5)多器件划分。 余弦波数据获取余弦波数据获取 通过 MATLAB 程序获取波形数据,将以上数据保存到 Quartus 的存储器 出事话文件当中,就可用 Quartuse 的宏模块定制向导 MegaWizard Pule_IN 通 过简单的几部操作定制一个 ROM 来保存余弦波数据。 输出波形频率输出波形频率 由于采用DDS,在ROM中存有波形一个周期的n个等间隔归一化采样数据, 改变相位累加器步进,从而改变对ROM中数据的读取速度,即可合成不同频率 波形,存储器中存入过量的采样值,使得采样点数较少时,依然能够得到较好 波形输出,从而得到较高频率输出。否则,采样点数太少会使产生波形严重失 - 7 - 真。输出波形频率计算: 0 2 oscf fs nk 式中是晶振频率oscf K 分频系数 N 相位累加器位数 a S 相位累加器步长 5.5.系统调试系统调试 将 FPGA 内部的完整设计(地址译码器、编码式键盘接口、LCD 接口、 DDS 子系统)通过 USB-Blaster 下载电缆下载到 FPGA 之中。单片机子系统通 过适配器与 PC 机相连。 波形仿真波形仿真: 仿真波形如下图5.1所示,数码管显示频率部分见附录 图 5.1 输出余弦仿真波形 仿真结果分析仿真结果分析: 如图所示相位累加模块对输入的频率控制字累加并寄存,调节频率控制字 - 8 - 便可调节输出频率的大小,实现频率的调节。上图可以验证方案设计的正确性, 基本实现了所要求的功能。 结果展望:结果展望: 本次设计只是实现了频率可调的余弦波的输出,并没有完成方波,余弦波, 三角波多路可切换的幅度、频率可调的信号发生器。并且在最初的设计中有数 码管显示频率这一部分的功能,但在完成设计的过程中,由于自身知识积累的 缺乏,这一部分是在老师的最终帮助下完成的。希望在后续的学习当中,进一 步学习有关 FPGA 开发板和 VERILOG 语言,能够实现上述所说的各种功能。 总结总结 在这次设计过程中,因为出现的种种问题和表现出来的种种不足,让我深 深的感觉到自己所学知识实在是沧海一粟,索要弥补的差距还有很多方面。面 对电子技术日新月异的发展,利用 EDA 手段进行设计已经成为一种趋势。利用 EDA 设计软件辅助设计,方便快捷,能够减少了错误率的产生,缩短了产品的 设计及上市周期,既减轻了设计工作量又满足了商业利益的需求。 此次设计的系统以 FPGA 为核心部件,可利用软件编程实现了对 D/A 转换 信号的处理。努力做到了线路简单、高性价比的特点,充分利用了软件编程, 弥补了硬件元器件的不足。 在设计过程当中,有各种知识性的错误,遇到了软件安装失败,操作不熟 练,程序编写不规范等诸多问题,通过对各种问题的解决,对应用软件的主要功 能能够做到熟练操作,需要规范操作的地方必须严格按照使用说明操作,避免 由于软件使用不当造成的错误产生。程序的编写格式必须规范,模块、端口以 及信号变量的命名应当反映实际意义,缩进格式工整明了,方便阅读理解,这 样有利于程序的编写,有利于分析调试,也有利于程序的重复使用。 此次系统的设计已经完成,在这次设计过程中有许多以前没有涉猎 过得知 识范围,通过有针对性地查找资料,咨询老师,逐渐的加以理解和应用,提高 自己的应用能力,同时了解到了新的专业知识,学会了一些编程方面的常用算 - 9 - 法,开阔了眼界。作为一名电气工程及其自动化专业的本科生,我将会继续电 气专业的这个方向上不断钻研、开拓进取。相信通过此次设计的锻炼,我对专 业知识和技能的掌握将更加牢靠,在今后的工作和学习中,必将使我受益匪浅, 取得应有的成绩。 同时在这里感谢邓彬伟老师的耐心指导,在这次设计期间,碰到许多专业方 面的难题,邓老师都一一帮我解答,特别在教学繁忙的情况下,还为我们提供 了许多宝贵的资料和意见,并帮我们作出了详细的分析,使我们更加顺利地完 成此次设计。通过这两个周的时间,使我学到许多知识,明白了许多以前上课 时无法理解的知识,还积累了一些较简单的问题解决方案。与此同时也感谢同 组做课题设计的同学,在设计过程中,有许多东西我不懂,他们都耐心地给我 讲解,给予我技术支援,帮助我解决了不少难题。另外由于我知识方面的缺乏, 设计还存在诸多方面的缺陷,希望能在以后的学习中进一步改进。 主要参考文献主要参考文献 1谭会生,张昌凡等. EDA 技术及应用(第二版M). 西安:西安电子科技 大学出版社,2004. 2李国丽,朱维勇,栾铭.EDA 与数字系统设计M.北京:机械工业出版社, 2004.1.4-10. 3薛文.DDS 任意波形发生器的设计

温馨提示

  • 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
  • 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
  • 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
  • 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
  • 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
  • 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
  • 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

评论

0/150

提交评论