毕业设计(论文)-基于FPGA与单片机通讯的LCD显示模块设计.doc_第1页
毕业设计(论文)-基于FPGA与单片机通讯的LCD显示模块设计.doc_第2页
毕业设计(论文)-基于FPGA与单片机通讯的LCD显示模块设计.doc_第3页
毕业设计(论文)-基于FPGA与单片机通讯的LCD显示模块设计.doc_第4页
毕业设计(论文)-基于FPGA与单片机通讯的LCD显示模块设计.doc_第5页
已阅读5页,还剩51页未读 继续免费阅读

下载本文档

版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领

文档简介

河南科技大学本科毕业设计(论文)基于FPGA与单片机通讯的LCD显示模块设计摘 要在数字电路技术高度发展的今天,基于FPGA、单片机的产品无处不在。液晶显示也成为现代显示器的主流产品。LCD液晶显示已经是人机交互界面得以实现的关键手段。本文对基于FPGA与单片机通信及单片机驱动LCD液晶显示器系统进行了研究。本文简要介绍了用单片机作驱动控制器的GDM12864A的基本原理,汉字的显示原理以及单片机的原理。阐述了基于51系列单片机的LCD 液晶显示器的并行方式的接口电路设计方法。对驱动电路的应用方法加以研究,还详细说明了其驱动程序相关部分的设计步骤并给出了相关代码。本设计的重点是用单片机驱动控制LCD显示器,接受来自FPGA发的信号。根据实验室的要求。FPGA输出一些简单的数字信号,通过LCD显示相应的结果。本设计的目的就是将LCD用到实验里。不止做简单的几个汉字显示。让它显示我们试验中FPGA发的信号数字。在本课题中,FPGA只传输一些在试验中比较简单的数字信号。所以在写驱动程序库在不需要太多的汉字库,设置一些数字,字母和一些常用的算数逻辑符号即可满足我们的要求。通过本课题设计能解决试验箱GW48-SOPC上LCD一直不被利用的状态,让它在教学中发挥它应用的作用。让我们在做实验时观察的结果更直观方便。关键词:FPGA,LCD,单片机,GDM12864A,GW48-SOPC Single-chip FPGA-based communications with the LCD display module designABSTRACT In the digital circuit technology of todays highly developed, based on the FPGA, MCU products everywhere. LCD monitors have become the mainstream of modern products. LCD liquid crystal display is a man-machine interface of the key means to achieve. In this paper, with the single-chip FPGA-based communications and single-chip LCD driver liquid crystal display systems were studied. This paper introduces the use of single-chip controller driver for GDM12864A the basic principles of the principle characters of the show, as well as the principle of single-chip microcomputer. 51 series of single-chip based on the LCD liquid crystal display mode of the parallel interface circuit design. Of the drive circuit to study the application of methods, but also a detailed description of its driver-related part of the design steps and give the relevant code. The focus of this design is a single-chip LCD display drive control, receive the signal from the FPGA made. In accordance with the requirements of the laboratory. FPGA output of some simple digital signal through the LCD shows the corresponding results. The purpose of this design is the LCD used in the experiment. Do more than simply show that the number of Chinese characters. It shows that we made the pilot signal in the digital FPGA. In this issue, FPGA transmission only in the test is relatively simple digital signal. Therefore, in writing database drivers do not need too much in hancku, set up some numbers, letters and a number of commonly used logic symbol count to meet our requirements. Issues through the design of the chamber to solve GW48-SOPC on LCD has not been utilized, and in teaching it to play its role in applications. Let us do sdddo to observe the results of experiments to facilitate more intuitive.KEY WORDS:FPGA, LCD, single-chip, GDM12864A, GW48-SOPC10目录前言6第1章 绪论81.1 开发背景及意义81.2 系统方案81.3 系统方案流程图91.4 系统方案论证101.5 系统需求101.6 开发软硬件平台10第2章 系统硬件设计122.1 单片机122.1.1 单片机概述122.1.2 单片机系列芯片介绍122.2 FPGA132.2.1 FPGA概述132.2.2 FPGA系列芯片介绍142.3 CPU的选择及应用162.3.1 MCS-51单片机的内部结构及芯片图162.3.2 Cyclone EP1C6结构及特性212.4 LCD液晶显示器222.4.1 LCD液晶器工作原理222.4.2 GDM12864A 图形液晶显示模块电路特性242.5 硬件原理连接252.5.1 单片机与FPGA并行通信接口252.5.2 单片机与LCD连接262.5.3 整系统连接图27第3章 系统软件设计283.1 软件设计模块概述283.2 FPGA嵌入式存储器模块283.3 单片机模块303.4 GDM12864A 图形液晶显示模块的软件特性313.5 汉字取模35第4章 开发环境374.1 系统调试软件Keil uVision2374.2 系统调试软件Quartus II38第5章 系统测试与结果仿真405.1 FPGA模块测试405.2 单片机驱动测试405.3 整体系统测试41结论42参考文献43致谢45前言自上世纪70年代第一位4位单片机问世,到如今的8位,16位,32位单片机,其应用已涉足诸多领域,如工业生产,航空航天,生物技术,医疗器械,办公自动化等等。而液晶显示(LCD)作为单片机应用系统中的信息输出技术,相对于传统的输出手段讲,效率更高,实时性更好,输出信息清晰直观了,日益成为单片机应用系统中信息输出的首选方法。而且, 液晶显示的模块化和成本的降低,更直接导致了液晶显示的大范围应用。作为智能仪表的信息显示及人机交互的界面, 点阵式液晶与外部的硬件接口简单, 能够以点阵或图形方式显示出各种信息, 并具有低电压、微功耗、 信息显示量大以及寿命长等特点, 因此广泛应用在各种便携式仪器和系统中。本文讨论了利用 MCU(微处理器)的 I/O端口, 通过软件设计并行总线的方法, 实现了 MCU对液晶显示驱动器的串行控制, 节省了单片机有限的 I/O资源。LCD控制器的功能是显示驱动信号,进而驱动LCD。用户只需要通过读写一系列的寄存器,完成配置和显示驱动。在驱动LCD设计的过程中首要的是配置LCD控制器,而在配置LCD控制器中最重要的一步则是帧缓冲区(FrameBuffer)的指定。用户所要显示的内容皆是从缓冲区中读出,从而显示到屏幕上的。在每次上电时,单片机将驱动程序加载到LCD中,此时LCD已做好接受数据显示的准备。在需要显示FPGA显示的数据时,FPGA直接将数据传递给LCD。通过LCD显示出我们需要的结果。在本课题中,FPGA只传输一些在试验中比较简单的数字信号。所以在写驱动程序库在不需要太多的汉字库,设置一些数字,字母和一些常用的算数逻辑符号即可满足我们的要求。通过本课题设计能解决试验箱GW48-SOPC上LCD一直不被利用的状态,让它在教学中发挥它应用的作用。让我们在做实验时观察的结果更直观方便。单片机也被称为微控制器(Microcontroller),是因为它最早被用在工业控制领域。单片机由芯片内仅有CPU的专用处理器发展而来。最早的设计理念是通过将大量外围设备和CPU集成在一个芯片中,使计算机系统更小、更容易集成进复杂的而对体积要求严格的控制设备当中。INTEL的Z80是最早按照这种思想设计出的处理器。液晶显示器 (LCD)具有体积小、重量轻和功耗低等特点。但是,要将其应用于军事装备中,需要解决其驱动板的工作温度范围问题,还要将隔行扫描的视频信号转换成与LCD相同分辨率的逐行扫描视频信号。为此,我们进行了基于单片机的LCD显示驱动的相关技术研究。FPGA采用了逻辑单元阵列LCA(Logic Cell Array)这样一个新概念,内部包括可配置逻辑模块CLB(Configurable Logic Block)、输出输入模块IOB(Input Output Block)和内部连线(Interconnect)三个部分,基于单片机与fpga通信可分为串行,并行通信方式,本文详细讲述单片机与fpga并行通行原理。第1章 绪论1.1 开发背景及意义随着液晶显示技术的发展, LCD (液晶显示器)模块已成为家电、显示仪器仪表和其他电子产品的重要组成部分。LCD 模块具有低工作电压、微功耗、信息量大、寿命长等诸多特点,在科研、生产与产品设计等领域正发挥着越来越重要的作用,其应用范围也呈现迅速扩展的态势。由于实现LCD显示及其附加功能的单片机程序较复杂,用汇编语言编写的程序可对片机内部寄存器更好的控制,完全能够达到绝大多数应用的要求。因此,本文着重介绍由8051单片机控制的中规模GDM12864A点阵式LCD实现字符显示的方法。本设计主要针对实验室SOPC实验箱GW48-PK2。单片机液晶LCD显示模块不被利用而提出的单片机驱动LCD使用方案。方案的成功完成能帮助以后的做实验。结果更加可视化。本方案的特定是将FPGA实验生成的数据能在LCD上有效的显示出来,让我们在做实验时对结果有更加直观的认识。设计中我们在FPGA模块中定义了一个嵌入式RAM。MCS-51作为CPU对嵌入式存储器进行取数。并控制LCD显示从FPGA接受的数据,设计中采用P1口的并行通信方式,能对数据的高速传输。1.2 系统方案 方案设计目的是在我们做EDA试验的时候,能让LCD显示我们的结果数据。方案中在FPGA通信模块中嵌入了一个双端RAM,首先我们将我们要显示的数据通过写时钟和写地址存入模块中,然后单片机自己产生一组时钟信号来读取模块中所要显示的内容。就像一个计算机现将一系列指令放到一个存储空间中,单片机好比CPU依次去取这些数据,然后做一系列处理,再发送给LCD让它显示我们想要的数据。当单片机处理完一个显示后再去取下一个“指令”(数据)。在这里一系列的处理中,将实验产生的数据放入存储模块中与单片机去取数据指令是相互独立的。只要在每组数据的结尾设置一个值(此值为存储结束标志,试验中是将一系列的二进制数存入RAM中,在正常数据传输时数据的第6位是0,当结束一组显示时我们只需将0改成1即可,),告诉双方一轮存储或显示结束,然后再重新开始(即重新从存储器的第一个地址存数据取数据)。这样就能实现LCD对试验结果的动态显示。FPGA数据产 生单片机接受数据并马上传给lcd让lcd显示LCD显示数据控制线控制线数据线图3-1 系统原理框图硬件选择:FPGA,Cyclone EP1C6,单片机,AT89C51。LCD,GDM12864A。1.3 系统方案流程图FPGA数据生成RAM存储单片机控制LCD控制显示图3-2 系统程序流程图1.4 系统方案论证 在本方案中我们采用了并行数据传输的方式,能实现数据的高速传输,对系统的设计类似计算机的组成的原理,MCU_51单片机好比计算机的CPU,LCD如显示器,我们在FPGA内部设计了一个嵌入式存储器,里面存放单片机要读取的数据代码,相当于CPU读取的指令。方案中LCD需要显示数字,字母,汉字。则我们需要在单片机内部开辟部分字库区。运用本系统只需要通过试验程序向嵌入式存储器内部写入一定的代码,就能实现LCD对相应数据的显示。经论证方案可行。1.5 系统需求 1、 通过单片机的控制,LCD能显示部分常用汉字,常用字符,26个英文字母及0-9的数字。2、 单片机上电后LCD会显示“河南科技大学SOPC”。3、 LCD能动态显示FPGA发送的数据。4、 可以对数据的显示在LCD上作简单的排版。5、 实现FPGA与单片机的串行数据通信。6、 单片机与LCD采用并行数据传输。1.6 开发软硬件平台 针对本课题的研究,我们需要在多个平台进行项目的开发。课题基于实验箱的开发,则我们的硬件平台选择GW48-PK2实验箱。设计中我们将用到两个软件开发环境Keil uVision3、Quartus II 7.2,其中Keil uVision3用于点片机内部汇编语言的编写及其调试,Quartus II 7.2用于FPGA模块中存储模块的编写、仿真、综合、下载等。这两个软件的使用将在第四章作详细介绍。第2章 系统硬件设计 2.1 单片机2.1.1 单片机概述单片机是指一个集成在一块芯片上的完整计算机系统。尽管他的大部分功能集成在一块小芯片上,但是它具有一个完整计算机所需要的大部分部件:CPU、内存、内部和外部总线系统,目前大部分还会具有外存。同时集成诸如通讯接口、定时器,实时时钟等外围设备。而现在最强大的单片机系统甚至可以将声音、图像、网络、复杂的输入输出系统集成在一块芯片上。单片机也被称为微控制器(MicroController),是因为它最早被用在工业控制领域。单片机由芯片内仅有CPU的专用处理器发展而来。最早的设计理念是通过将大量外围设备和CPU集成在一个芯片中,使计算机系统更小,更容易集成进复杂的而对体积要求严格的控制设备当中。早期的单片机都是8位或4位的。其中最成功的是INTEL的8031,因为简单可靠而性能不错获得了很大的好评。此后在8031上发展出了MCS51系列单片机系统。基于这一系统的单片机系统直到现在还在广泛使用。随着工业控制领域要求的提高,开始出现了16位单片机,但因为性价比不理想并未得到很广泛的应用。90年代后随着消费电子产品大发展,单片机技术得到了巨大的提高。2.1.2 单片机系列芯片介绍(1)PIC单片机 是MICROCHIP公司的产品,其突出的特点是体积小,功耗低,精简指令集,抗干扰性好,可靠性高,有较强的模拟接口,代码保密性好,大部分芯片有其兼容的FLASH程序存储器的芯片. (2)EMC单片机是台湾义隆公司的产品,有很大一部分与PIC 8位单片机兼容,且相兼容产品的资源相对比PIC的多,价格便宜,有很多系列可选,但抗干扰较差. (3)ATMEL单片机(51单片机)ATMEl公司的8位单片机有AT89、AT90两个系列,AT89系列是8位Flash单片机,与8051系列单片机相兼容,静态时钟模式;AT90系列单片机是增强RISC结构、全静态工作方式、内载在线可编程Flash的单片机,也叫AVR单片机. (4)PHLIPIS 51PLC系列单片机(51单片机)PHILIPS公司的单片机是基于80C51内核的单片机,嵌入了掉电检测、模拟以及片内RC振荡器等功能,这使51LPC在高集成度、低成本、低功耗的应用设计中可以满足多方面的性能要求. (5)HOLTEK单片机台湾盛扬半导体的单片机,价格便宜,种类较多,但抗干扰较差,适用于消费类产品. (6)TI公司单片机(51单片机)德州仪器提供了TMS370和MSP430两大系列通用单片机.TMS370系列单片机是8位CMOS单片机,具有多种存储模式、多种外围接口模式,适用于复杂的实时控制场合;MSP430系列单片机是一种超低功耗、功能集成度较高的16位低功耗单片机,特别适用于要求功耗低的场合2.2 FPGA2.2.1 FPGA概述FPGA是英文FieldProgrammable Gate Array的缩写,即现场可编程门阵列,它是在PAL、GAL、EPLD等可编程器件的基础上进一步发展的产物。它是作为专用集成电路(ASIC)领域中的一种半定制电路而出现的,既解决了定制电路的不足,又克服了原有可编程器件门电路数有限的缺点。 FPGA采用了逻辑单元阵列LCA(Logic Cell Array)这样一个新概念,内部包括可配置逻辑模块CLB(Configurable Logic Block)、输出输入模块IOB(Input Output Block)和内部连线(Interconnect)三个部分。FPGA的基本特点主要有: (1)采用FPGA设计ASIC电路,用户不需要投片生产,就能得到合用的芯 片。 (2) FPGA可做其它全定制或半定制ASIC电路的中试样片。 (3)FPGA内部有丰富的触发器和IO引脚。 (4)FPGA是ASIC电路中设计周期最短、开发费用最低、风险最小的器之一。 (5) FPGA采用高速CHMOS工艺,功耗低,可以与CMOS、TTL电平兼容。 可以说,FPGA芯片是小批量系统提高系统集成度、可靠性的最佳选择之一。 目前FPGA的品种很多,有XILINX公司的Virtex系列、TI公司的TPC系列、ALTERA公司的Stratix系列等。 FPGA是由存放在片内RAM中的程序来设置其工作状态的,因此,工作时需要对片内的RAM进行编程。用户可以根据不同的配置模式,采用不同的编程方式。加电时,FPGA芯片将EPROM中数据读入片内编程RAM中,配置完成后,FPGA进入工作状态。掉电后,FPGA恢复成白片,内部逻辑关系消失,因此,FPGA能够反复使用。FPGA的编程无须专用的FPGA编程器,只须用通用的EPROM、PROM编程器即可。当需要修改FPGA功能时,只需换一片EPROM即可。这样,同一片FPGA,不同的编程数据,可以产生不同的电路功能。因此,FPGA的使用非常灵活。 2.2.2 FPGA系列芯片介绍(1)LatticeSC系统芯片FPGA系列 LatticeSCFPGA将高速I/O、SERDES、结构化的ASIC模块 和高性能的FPGA结构集成在单个器件上,LatticeSCFPGA采用富士通的90纳米CMOS工艺技术并用300毫米硅片制造,能够加速芯片至芯片、芯片至存储器、高速串行、背板及网络数据通道的连通性,提供“超级性能”。LatticeSC器件中集成了支持3.4Gbps数据率的高信道数的SERDES模块、提供业界领先的2Gbps速度的PURESPEED并行I/O、创新的时钟管理结构、以500MHz频率工作的FPGA逻辑、密集的RAM块以及莱迪思特有的针对成本优化(MACO)的嵌入式结构化ASIC模块的掩膜式阵列。LatticeSCPURESPEEDI/O支持许多差分和单端I/O标准,包括LVTTL、LVCMOS、SSTL、HSTL、GTL+、LVDS、LVPECL和Hypertransport。(2) Xilinx公司芯片FPGA系列Xilinx公司成立于 1984年,Xilinx首创了现场可编程逻辑阵列(FPGA)这一创新性的技术,并于1985年首次推出商业化产品。Xilinx的主流FPGA分为两大类,一种侧重低成本应用,容量中等,性能可以满足一般的逻辑设计要求,如Spartan系列;还有一种侧重于高性能应用,容量大,性能满足各类高端应用,如Virtex系列,用户可以根据自己实际应用要求进行选择。 在性能可以满足的情况下,优先选择低成本器件。* Spartan-3/3L: 新一代FPGA产品,结构与VirtexII类似,全球第一款90nm工艺FPGA,1.2v内核,于2003年开始陆续推出。* Spartan-3E:xilinx最新推出的低成本FPGA,基于Spartan-3/3L,对性能和成本进一步优化* Virtex-II:2002年推出,0.15um工艺,1.5v内核,大规模高端FPGA产品* Virtex-II pro: 基于VirtexII的结构,内部集成CPU和高速接口的FPGA产品* Virtex-4: xilinx最新一代高端FPGA产品,包含三个子系列:LX,SX,FX* Virtex-5:最新的FPGA产品,65nm(3)Altera公司芯片FPGA系列自二十年前发明世界上第一个可编程逻辑器件开始,Altera公司(NASDAQ:ALTR)秉承了创新的传统,是世界上“可编程芯片系统”(SOPC)解决方案倡导者。Altera结合带有软件工具的可编程逻辑技术、知识产权(IP)和技术服务,在世界范围内为14,000多个客户提供高质量的可编程解决方案。我们新产品系列将可编程逻辑的内在优势灵活性、产品及时面市和更高级性能以及集成化结合在一起,专为满足当今大范围的系统需求而开发设计。 Altera可编程解决方案包括: 业内最先进的FPGA、CPLD和结构化ASIC技术 全面内嵌的软件开发工具 最佳的IP内核 可定制嵌入式处理器Altera 的主流FPGA分为两大类,一种侧重低成本应用,容量中等,性能可以满足一般的逻辑设计要求,如Cyclone,CycloneII;还有一种侧重于高性能应用,容量大,性能满足各类高端应用,如Startix,StratixII等,用户可以根据自己实际应用要求进行选择。在性能可以满足的情况下,优先选择低成本器件。* Cyclone(飓风):Altera中等规模FPGA,2003年推出,0.13um工艺,1.5v内核供电,与Stratix结构类似,是一种低成本FPGA系列 ,是目前主流产品,其配置芯片也改用全新的产品。Altera最成功的器件之一,性价比不错,是一种适合中低端应用的通用FPGA,推荐使用。* CycloneII:Cyclone的下一代产品,2005年开始推出,90nm工艺,1.2v内核供电,属于低成本FPGA,性能和Cyclone相当,提供了硬件乘法器单元,刚刚推出的新一代低成本FPGA,目前市场零售还不容易买到,估计从2005年年底开始,将逐步取代Cyclone器件,成为Altera在中低FPGA市场中的主力产品。* Stratix :altera大规模高端FPGA,2002年中期推出,0.13um工艺,1.5v内核供电。集成硬件乘加器,芯片内部结构比Altera以前的产品有很大变化。Startix芯片在2002年的推出,改变了Altera在FPGA市场上的被动局面。该芯片适合高端应用。 随着2005年新一代StratixII器件的推出,将被StratixII逐渐取代。* StratixII: Stratix的下一代产品,2004年中期推出,90um工艺,1.2v内核供电,大容量高性能FPGA。性能超越Stratix,是未来几年中,Altera在高端FPGA市场中的主力产品。2.3 CPU的选择及应用2.3.1 MCS-51单片机的内部结构及芯片图(1)AT89C51简述AT89C51是美国ATMEL公司生产的低电压,高性能CMOS8位单片机,片内4K bytes的可反复擦写的只读程序存储器和随机存储器数据存储器,器件采用ATMEL公司的高密度、非易失性存储技术生产,兼容标准的MCS-51指令系统,片内置通用8位中央处理器和Flash存储单元,功能强大AT89C51单片机可为你提供高性价比的应用场合,可灵活应用于各种控制领域。(2) AT89C51的整体结构AT89C51整体方框图图2-1 AT89S52整体方框图AT89C51单片机将通用的8位CPU,存储器(包括RAM和FLASH ROM)、并行I/O接口、定时器/计数器、中断控制功能等均集成在一块芯片上,片内各功能模块通过内部总线相互连接起来。其功能框图如下: 图2-2 AT89C51单片机的功能框图(3)AT89C51的功能结构AT89C51提供以下标准功能结构:l 4K字节Flash闪速存储器。l 128字节内部RAMl 32个I/O口线l 两个16位定时/计数器l 一个5向量两级中断结构l 一个全双工串行通信口l 片内振荡器及时钟电路同时,AT89C51可降至0Hz的静态逻辑操作,并支持两种软件可选的节电工作模式。空闲方式停止CPU的工作,但允许RAM,定时/计数器,串行通信口及中断系统继续工作。掉电方式保存RAM中的内容,但振荡器停止工作并禁止其它部件工作直到下一个硬件复位。(4)AT89C51的封装及引脚 如图所示为外围引脚和封装图图2-3为单片机外围引脚图引脚功能介绍:VCC:电源电压GND:地P0口:P0口是一组8位漏极开路型双向I/O口,也是地址/数据总线复用口。作为地址用时为地址低8位,且每位的拉电流可以驱动8个TTL逻辑门电路,对端口写“1”可以作为高阻抗输入端用。P1口:P1是一个带内部上拉电阻的8位双向I/O口,P1的输出缓冲级可驱动4个TTL门电路,是可以作为通用的I/O口使用。Flash编程和程序校验期间,P1接收低8位地址。P2口:是一个带有内部上拉电阻的8位双向I/O,可带4个TTL逻辑门电路,在访问外部程序存储器或16位地址的外部数据存储器时,P2接收高8位地址数据,也可以作为通用I/O口用。P3口:P3也是带内部上拉的8位双向I/O口。可以带4个TTL逻辑门电路,通常作为第二功能使用。端口引脚第二功能P3.0RXDP3.1TXDP3.2P3.3P3.4T0P3.5T1P3.6P3.7 表2-1为P3口特殊功能表RST:复位输入,其引脚出现两个机器周期以上电平将使单片机复位。ALE:常常作为输出脉冲用于锁存地址的低8位字节。EA/VPP:当接低电平时,CPU仅访问外部程序存储器,当接高电平时,接内部程序存储器中的指令。XTAL1:做为内部时钟发生器的输入端。XTAL2:外部时钟的输出端时钟电路典型电路如图2-4,图2-5: 图2-4为单片机振荡器驱动电路图2-5为单片机外部时钟驱动电路2.3.2 Cyclone EP1C6结构及特性Altera Cyclone 系列FPGA从根本上针对低成本进行设计。这些低成本器件具有专业应用特性,例如嵌入式存储器、外部存储器接口和时钟管理电路等。Cyclone系列FPGA是成本敏感大批量应用的最佳方案。Cyclone FPGA是目前ASIC应用的低成本替代方案。作为系统设计人员,您面临很多挑战,包括越来越大的成本压力和越来越复杂的设计,新出现的标准,以及越来越短的设计周期等。 ASIC 开发涉及到大量的工程资源,设计仿真和验证,需要进行多次重制。利用其系统级集成功能,Cyclone FPGA系列避免了ASIC昂贵的NRE负担,降低了订购量和产品推迟带来的风险。采用Cyclone FPGA系列,您的大批量应用现在可以采用价格相当的可编程解决方案(与ASIC相比)。Cyclone FPGA主要特点:1 逻辑资源丰富,逻辑单元数量最大可达20060个,内置M4K存储块,最大RAM可达288bk。 2 多电压接口,支持LVTTL,LVCOMS,SSTL-2,SSTL-3和LVDS等I/O标准。 3 支持66MHz,32b PCI标准。 4 灵活的时钟管理,每个器件两个PLL,可对时钟进行倍频,分频和相移 5 专门的双倍频数据接口电路,可方便的与FIFO,RAM,FCRAM,SDRAM和DDR SDRAM等存储器接口。 6 芯片内有Signal Tap 嵌入式逻辑分析器,极大地方便了设计者对芯片内部逻辑进行检查,而不需要将内部信号输出到I/O管脚上。 7 支持多种IP核。 8 低成本串行配置器件。 Cyclone FPGA综合考虑了逻辑、存储器、锁相环(PLL)和高级I/O接口,是价格敏感应用的最佳选择。Cyclone FPGA具有: 新的可编程体系结构,实现低成本设计。 嵌入式存储器资源支持多种存储器应用和数字信号处理(DSP)实现 专用外部存储器接口电路,支持与DDR FCRAM和SDRAM器件以及SDR SDRAM存储器的连接。 支持串行总线和网络接口以及多种通信协议 片内和片外系统时序管理使用嵌入式PLL 支持单端I/O标准和差分I/O技术,LVDS信号数据速率高达640Mbps。 处理功耗支持Nios II 系列嵌入式处理器 采用新的串行配置器件的低成本配置方案 Quartus II 软件OpenCore评估特性支持免费的IP功能评估 Quartus II 网络版软件的免费支持 2.4 LCD液晶显示器2.4.1 LCD液晶器工作原理自人类进入信息时代以来,信息显示技术在人们社会活动和日常生活中的作用日益明显。例如,信息处理、接收及发送等操作均借助于信息系统终端与人之间的界面 显示来完成。另一方面,60年代以后,半导体集成电路技术的飞速发展和广泛应用,使信息系统设备向小型化、轻型化、节能化、高密度化发展。液晶显示具有低电压、微功耗、易彩色化等特点,恰好符合技术发展趋势和信息时代的需求,促使了液晶显示技术的发展。LCD显示模式早在上世纪60年代就己出现,并于上世纪70年代形成扭曲相列型TN-LCD产业,主要应用于电子手表、计算器当中。到上世纪80年代中期开发的超扭曲相列型STN-LCD产品,在显示品质上相比TN-LCD有了很大的进步D1。随着应用领域的不断扩大,TN和ST显示模式由于其固有的不足己无法适应显示技术的发展,其缺陷主要表现在交叉效应严重、显示容量小、响应速度慢等。TFT-LCD显示技术彻底克服了上述两种显示方式的不足,以其大容量、高清晰度和全彩色视频显示成为LCD显示的主导技术。LCD技术是把液晶灌入两个列有细槽的平面之间。这两个平面上的槽互相垂直(相交成90度)。也就是说,若一个平面上的分子南北向排列,则另一平面上的分子东西向排列,而位于两个平面之间的分子被强迫进入一种90度扭转的状态。由于光线顺着分子的排列方向传播,所以光线经过液晶时也被扭转90度。但当液晶上加一个电压时,分子便会重新垂直排列,使光线能直射出去,而不发生任何扭转。LCD正是由这样两个相互垂直的极化滤光器构成,所以在正常情况下应该阻断所有试图穿透的光线。但是,由于两个滤光器之间充满了扭曲液晶,所以在光线穿出第一个滤光器后,会被液晶分子扭转90度,最后从第二个滤光器中穿出。另一方面,若为液晶加一个电压,分子又会重新排列并完全平行,使光线不再扭转,所以正好被第二个滤光器挡住。总之,加电将光线阻断,不加电则使光线射出。(a)无外加电压 (b)有外加电压图2-6液晶器件的显示原理2.4.2 GDM12864A 图形液晶显示模块电路特性(一) GDM12864A 的电特性配置于 GW48-PK 系统的 LCD GDM12864A 是带显示存储器的图形液晶显示列驱动控制器。它的特点是内置 6464 位的显示存储器,显示屏上各像素点的显示状态与显示存储器的各位数据一对应,显示存储器的数据直接作为图形显示的驱动信号。显示数据为“1”,相应的像素点显示;显示数据为“0“,相应的像素点就不显示。同时 GDM12864A 配备了一套显示存储器的管理电路和与计算机接口电路,允许计算机直接访问显示存储器,也就是说 GDM12864A 可以直接与计算机的总线连接。 GDM12864A 的主要特性为: 拥有 6464 位(512 字节)的显示存储器,其数据直接作为显示驱动信号。 8 位并行数据接口,适配 M6800 系列时序。 64 路列驱动输出。 简单的操作指令显示开关设置,显示起始行设置,地址指针设置和数据读写等指令。 低功耗,在显示期间功耗最大为mW。 宽电压工作 Vcc=2.7V5.5V Vee=OV-10V(二) 模块特性GDM12864A 的接口电路定义如下表所示。序号符号电平状态功能1GND0V电源地2Vcc5.0V逻辑电源正3V00-5V液晶显示驱动电源4D/IH/L输入寄存器选择信号7R/WH/L输入读写选择信号8EH/L输入使能信号7DB0H/L三态数据总线(最低位)8DB1H/L三态数据总线9DB2H/L三态数据总线10DB3H/L三态数据总线11DB4H/L三态数据总线12DB5H/L三态数据总线13DB6H/L三态数据总线14DB7H/L三态数据总线(最高位)15CS2H输入片选2(高电平有效)16CS1H输入片选1(高电平有效)17/RESL输入复位信号(低电平有效)18VEE-输出LCD驱动负电压19A4.2V输入背光电源(+)20K0V背光电源(-)图2-7电路接口定义CSCSGDM12864A00禁止使用01左区10右区11未选图2-8接口信号中的两个片选信号的组合定义2.5 硬件原理连接2.5.1 单片机与FPGA并行通信接口 在功能上,单片机与FPGA有很强的互补性。单片机具有性能价格高、功能灵活、易于人机对话、强大的数据处理能力的特点;FPGA则具有高速、高可靠性以及开发便捷、规范等优点。以这两类期间相互结合的电力结构在许多电子系统设计中被广泛应用。 再设计单片机与FPGA接口时,基本的出发点是将FPGA作为单片机的一个外设。单片机通过串口总线或并行总线与FPGA交换数据信息和控制信息。采用串行线方式时,通信时序可由所设计的软件自由决定,形式灵活多样,但数据交换的速度较慢。采用并行总线方式时,单片机以固定的总线方式的读/写时序与FPGA接环信息,数据交换的速度快。由于目前大多数FPGA期间内部含有丰富的存储器资源,可配置成单口RAM,双口RAM,FIFO等特别适合单片机采用并行的方式交换数据,因此在实际运用中单片机与FPGA的接口更多的采用并行总线的形式。为了使单片机能访问FPGA内部的各种资源(如存储器、锁存器等),单片机与FPGA接口的信号线应包括数据总线和控制总线。AT89C51单片机的数据总线为D0-D7共8根。单片机与FPGA相互协议控制线为RXD/TXD共2根线,地址总线的设置应根据FPGA内部的存储器的容量来确定,在这里FPGA选用Altera公司的Cyclone EP1C6。图2-9单片机与FPGA连接图图中PIO68-PIO75对应FPGA引脚编号,为D0-D7数据端。PIO78,PIO79为矿质连接线。2.5.2 单片机与LCD连接本设计选用AT89c51单片机、和GDM12864A型LCD,本连接为间接控制方式间接控制方式是计算机通过自身的或系统中的并行接口与液晶显示模块连接,计算机通过对这些接口的操作,以达到对液晶显示模块的控制。这种方式的特点是电路简单,控制时序由软件实现,可以实现高速计算机与液晶显示模块的接口。实用电路图如下图所示。在图中电路中以 89c51的P0口作为数据口,P2.4为CS2, P2.3为CS1, P2.2为E,P2.1为R/W和P2.0为DI等信号。电位器用于显示对比度的调节。图2-10 单片机与LCD连接图2.5.3 系统连接图图2-11 系统电路连接图 系统连接图显示出FPGA与单片机连接及单片机与LCD连接。27河南科技大学本科毕业设计(论文)第3章 系统软件设计3.1 软件设计模块概述 本课题设计从运用出发。设计贴近我们实验的环境,方案软件设计包含2个大方面模块描述,一、FPGA嵌入式存储器描述。二、单片机接受FPGA数据和单片机驱动LCD显示FPGA发来的数据。在本章节中将详细介绍各个软件功能模块的设计。3.2 FPGA嵌入式存储器模块 本课题嵌入式存储器所选用的是FPGA内嵌的M4K。则需要我们用语言描述一个存储器,设计中我们VHDL语言编写存储模块,本模块为FPGA与单片机的连接模块,也是FPGA与单片机建立通信的中枢。模块包含7个端口,其中三个输出,四个输入。WRCLK为数据输入的时钟信号,DATA为实验产生数据输入,WRADD为输入数据的写地址,RXD为单片机产生的时钟信号用于从存储模块中读时钟,此时钟每两个上升沿读取一次数据。TXD为输出到单片机的协议信号,RBGIN为一轮显示结束标志,高有效。当TXD为1时表示取数完成,单片机可以对数据进行处理否则单片机等待。Dout为输出到单片机的数据。 模块工作方式为:当产生试验数据时通过WRCLK时钟将数据存入模块内嵌的存储器中,要结束一组数据输入时将RBGIN置高电平,正常显示时RBGIN置为低,此值为一组数据显示的结束标志。这时写地址应改为000h重新向这段存储空间写入新数据,最后还是用RBGIN置高结束输入。模块内部用于单片机读数据地址是本模块自动产生(即每两个rxd上升沿读地址加一),模块从内嵌存储器取数据当检测数据的REGIN是1时,模块内读地址自动改成000H,实现循环取数。则如果在RBGIN为低时,数据不断更新单片机都能接受到新数据,让 LCD及时显示出来,实现数据的及时显示。图3-1 FPGA嵌入式存储器模块模块部分程序: RBGIN=RBGIN_1;GCLK:PROCESS(RXD)BEGIN IF RXDEVENT AND RXD=1 THEN CLK=NOT CLK; IF CLK=1 THEN IF RBGIN_1=1 THEN ADDRESS0); ELSE ADDRESS=ADDRESS+1; END IF; TXD=1; ELSE TXD=0; END IF; END IF;END PROCESS;DOUT=DOUT_1;U1:DMEMERY PORT MAP(DATA1,ADDRESS,RXD,WRADD,WRCLK,WREN,DOUT_1);3.3 单片机模块我们采用MCS-51系列AT89C51单片机来访问FPGA模块的嵌入式RAM存储器。并采用汇编语言编程,实现信息显示,硬件连接如图2-11,2-12.FPGA的RAM存储器,8位数据线。适用于8位MCU,P1.0-P1.7直接与FPGA模块数据总线引脚连接。由于采用单片机片内存储器,则EA/VP脚必须接+5V,RESET是复位脚,低电平有效,复位输入必须保持1ms以上。根据方案设计需求我们需要显示一部分常用汉字,字母,字符,和数字。设计中我们在单片机存储区

温馨提示

  • 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
  • 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
  • 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
  • 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
  • 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
  • 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
  • 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

评论

0/150

提交评论