




已阅读5页,还剩4页未读, 继续免费阅读
版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领
文档简介
交通灯信号控制器的设计1. 实验目的(1) 熟悉MAX+plus软件的基本使用方法。(2) 熟悉GW48CK EDA实验开发系统的基本使用发放。(3) 学习VHDL基本逻辑电路和状态机电路的综合设计应用。2. 实验内容设计并调试好一个十字交叉路口的交通灯控制器,具体要求如下:(1) 东西、南北方向有红、黄、绿指示灯,两个显示数码管。(2) 当南北方向允许通行亮绿灯时,东西方向亮红灯,而东西方向允许通行亮绿灯时,南北方向亮红灯。(3) 工作顺序为东西方向红灯亮45秒,前40秒南北方向绿灯亮,后5秒黄灯亮。然后南北方向红灯亮45秒,前40秒东西方向绿灯亮,后5秒黄灯亮。整个设计包括计时信号发生器、交通灯控制器以及译码显示电路的设计。用GW48CK EDA实验开发系统进行硬件验证。3. 实验条件(1) 开发软件:MAX+plus。(2) 实验设备:GW48CK EDA实验开发系统。(3) 拟用芯片:EPF10K10-OLCC84。4. 实验设计(1) 系统的原理框图(2) VHDL源程序LIBRARY ieee;USE ieee.std_logic_1164.ALL;USE ieee.std_logic_unsigned.ALL;ENTITY nclight ISPort( clk : in std_logic; -xsh1 : out std_logic_vector(5 downto 0); -xsh2 : out std_logic_vector(5 downto 0); ra,rb,ya,yb,ga,gb : out std_logic );END nclight;Architecture a of nclight istype state is (S0,S1,S2,S3);signal presentstate,nextstate : state;-signal tmp40 : integer range 0 to 40 :=0;-signal tmp5 : integer range 0 to 5 :=0;signal tmp40 : std_logic_vector(5 downto 0) :=101000;signal tmp5 : std_logic_vector(2 downto 0):=101;signal timeout40,timeout5 : std_logic;signal rst40,rst5 : std_logic :=0;signal en40,en5 : std_logic :=0;signal q : std_logic_vector(1 downto 0);signal sec : std_logic;Begin-get 1 hz clock pulsehz1: process(clk)beginif clkevent and clk=1 then q=q+1; end if;sec=q(1); -get 1 hz clock pulseend process;-reg: process(sec)beginif secevent and sec=1 then presentstate ra=0; ya=0; ga=1; rb=1; yb=0; gb ra=0; ya=1; ga=0; rb=1; yb=0; gb ra=1; ya=0; ga=0; rb=0; yb=0; gb ra=1; ya=0; ga=0; rb=0; yb=1; gb ra=1;ya=0;ga=0;rb=1; yb=0; gbrst40=0;en40=1;rst5=1;en5=0;iftimeout40=1 then nextstate=s1;else nextstaterst5=0;en5=1; rst40=1;en40=0; if timeout5=1 then nextstate=s2;else nextstaterst40=0;en40=1; rst5=1;en5=0; if timeout40=1 then nextstate=s3;else nextstaterst5=0;en5=1;rst40=1;en40=0;if timeout5=1 then nextstate=s0;else nextstate nextstate=s0; rst40=0;en40=0; rst5=0;en5=0;end case;end process;-cnt40 : process(sec,rst40,en40)beginif rst40=1 then tmp40=101000;elsif secevent and sec=1 thenif en40=1 then if tmp40=000001 then tmp40=101000; else tmp40=tmp40-1;end if;-end if;end if; iftmp40=000001thentimeout40=1;elsetimeout40=0;end if;end process;- -cnt5 : process(sec,rst5,en5)beginif rst5=1 then tmp5=101;elsif secevent and sec=1 thenif en5=1 then if tmp5=001 then tmp5=101;else tmp5=tmp5-1;end if;- end if;end if; if tmp5=001 then timeout5=1;else timeout5=0;end if;- end process;-end a;(3)管脚锁定文件设计实体I/O标识插座序号芯片可用资源序号芯片管脚序 号RAPIO8I/O817YAPIO9 I/O9 16GAPIO10I/O1019RBPIO11I/O1121TBPIO12I/O1222GBPIO13I/O1323TMP40 (6 TO 4)PIO22-20I/O22-2037,36,35TMP40 (3 TO 0)PIO19-16 I/O19-16 30,29,28,27TMP5 (2 TO 0)PIO46-44I/O46-4478,73,72备注验证设备:GW48CK ;实验模式:NO.5实验芯片:EPF10K10-OLCC84;5. 实验结果及总结(1)系统仿真情况从系统仿真结果(本仿真结果可通过同时按下“ALT+PrtSc”键抓取当前屏幕信息放入剪贴板中,再在WORD文档中通过粘贴的方法获得)可以看出,本系统完全符合设计要求。(2)硬件测试情况接好后,计时开始,RA 亮时YB,GB先后亮,然后RB亮时,YA,GA先后亮;绿灯,黄灯亮的同时,数显器计数情况为40,39,3840;5,4,3,2,1,5。从实验结论可以看出,本系统满足设计要求。(3)实验体会总结 这次一整天的EDA课程设计,可以说是苦多于甜,但是不仅巩固了以前所学过的知识,而且学到了很多在书本上所没有学到过的知识。通过这次设计,进一步加深了对EDA的了解,让我对它有了更加浓厚的兴趣。在程序时,遇到了不少问题,特别是各元件之间的连接,以及信号的定义,总是有错误,在细心的检查下,终于找出了错误和警告,排除困难后,程序编译就通过了,心里终于舒了一口气。在波形仿真时,也遇到了一点困难,想要的结果不能在波形上得到正确的显示:在设定输入的时钟信号后,计时开始,但是始终看不到红黄绿灯的变化。后来,在几次的调试之后,才发现是因为输入的时钟信号对于器件的延迟时间来说太短了。经过屡次调试,终于找到了比较合适的输入数值:Endtime的值需要设置的长一点:1000us左右,这样就可以观察到完整的仿真结果。通过这次课程设计使我懂得了理论与实际相结合是很重要的,只有理论知识是远远不够的,只有把所学的理论知识与实践相结合起来,从理论中得出结论,才能真正为社会服务,从而提高
温馨提示
- 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
- 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
- 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
- 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
- 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
- 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
- 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。
最新文档
- 小学五年级期末试卷(集锦12篇)
- 采石场股份买卖与矿山安全生产责任书
- 智能家居社区场地及智能家居租赁合同
- 房屋买卖合同催告与产权转移合同
- 餐饮连锁企业旗下特色餐厅品牌转让及经营管理合同
- 车辆质押融资与汽车改装设计合同
- 知识产权代理授权委托书范本
- 拆迁补偿安置及安置房销售合同范本
- 2025私人借款合同书模板
- 2025版FIDIC合同主要条款深度解析
- 电气控制柜面试题及答案
- 药房药品追溯管理制度
- 2025年初中学业水平考试地理模拟卷:地震、台风等自然灾害防治措施试题及答案
- 2025年中国柔性透明导电膜项目投资计划书
- 中医执业医师资格考试《第一单元》真题及答案(2025年新版)
- 重症医学科医院感染控制原则专家共识(2024)解读
- 福建三明经开区控股集团有限公司子公司招聘笔试题库2025
- 海洋垃圾资源化利用与环境影响评估-洞察阐释
- 分公司收回协议书
- 虚拟现实技术的应用场景的试题及答案
- 企业内部审计与风险管理的互动试题及答案
评论
0/150
提交评论