




已阅读5页,还剩16页未读, 继续免费阅读
版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领
文档简介
湖南涉外经济学院课程设计报告课程名称:EDA技术及实例开发教程报告题目:多功能电子钟学生姓名:所在学院:信息科学与工程学院专业班级:电信1101班学生学号:33 3指导教师:2014年6月20日课程设计任务书报告题目多功能电子钟完成时间6.20学生姓名专业班级电信1101班指导教师职称副教授总体设计要求和技术要点设计一个多功能电子钟,要求如下:1有“时”、“分”、“秒”计数显示功能,小时为24进制,分钟和秒为60进制以24小时循环计时; 2设置复位、清零等功能; 3有校时功能,当数字钟接通电源或者计时出现误差时,均需要校正时间,校时是数字钟应具备的基本功能,可以分别对时及分进行单独校时,使其校正到标准时间;4 有闹钟功能,可以设置闹钟时间,并在达到设定时间时响铃提示;5 闹钟设置时可显示闹钟的时、分;6 可取消对闹钟的设置;工作内容及时间进度安排第17周:周1-周3 :立题、论证方案设计周4-周5 :预答辩第18周:周1-周3 :仿真实验7周4-周5 :验收答辩课程设计成果1与设计内容对应的软件程序2课程设计总结报告摘要该实验是利用QuartusII软件设计一个多功能数字钟,进行试验设计和仿真调试。并下载到SOPC/EDA实验开发平台中进行调试和验证。使得设计的数字钟的功能更加完善。设计过程中重点研究了数字电子时钟的设计思路和功能模块的划分。数字钟实际上是一个对标准频率(1HZ)进行计数的计数电路,由于计数的起始时间不可能与标准时间一致,故需要在电路上加一个校时电路,实现了计时,校时,校分,清零,保持和闹钟响铃等多种基本功能。系统主要由五大模块构成:计时模块、闹钟模块、比较模块、响铃模块、显示模块等。关键词:QuartusII 数字钟 多功能 闹钟目 录一、概述1二、方案设计与论证12.1、电子时钟的设计12.1.1、24进制计数器的设计12.1.2、60进制计数器的设计22.1.3 、电子时钟的设计322、电子时钟可调设计423、电子时钟闹钟设计524、比较模块设计525、闹铃模块设计726、显示模块设计72.6.1 、七段LED显示译码器模块82.6.2 、六进制计数器模块92.6.3 、3-6译码器模块112.6.4 、六选一数据选择器模块122.6.5 、动态扫描显示12三、总原理图及引脚锁定图133.1、总原理图133.2、引脚锁定14四、结论与心得14五、参考文献16一、概述现代社会的标志之一就是信息产品的广泛使用,而且是产品的性能越来越强,复杂程度越来越高,更新步伐越来越快。支撑信息电子产品高速发展的基础就是微电子制造工艺水平的提高和电子产品设计开发技术的发展。前者以微细加工技术为代表,而后者的代表就是电子设计自动化(electronic design automatic, EDA)技术。钟表的数字化给人们生产生活带来了极大的方便,而且大大地扩展了钟表原先的报时功能。诸如定时自动报警、定时启闭电路、定时开关烘箱、通断动力设备,甚至各种定时电气的自动启用等,所有这些都是以钟表数字化为基础的。因此研究数字钟以及扩大其应用有着非常现实的意义。本实验设计是应用QuartusII环境及VHDL语言设计一个时间可调的数字时钟。使自己熟练使用QuartusII环境来进行设计,掌握VHDL语言的设计方法。VHDL是一种全方位的硬件描述语言,具有极强的描述能力,能支持系统行为级、寄存器传输级和逻辑门级这三个不同层次的设计;支持结构、数据流、行为三种描述形式的混合描述,覆盖面广,抽象能力强,因此在实际应用中越来越广泛。二、方案设计与论证 本数字系统实现数字钟的基本的计时功能,采用24小时制计时,能显示时、分、秒。本系统还具有校正功能,可以进行时分的校时。另外还可以设定闹钟,当按下闹铃开关时,可在规定时间闹铃,当开关复位时,闹铃停止。2.1、电子时钟的设计 电子时钟的设计是在QuartusII平台上,采用原理图输入设计方法,调用74160十进制计数器,完成一个24进制同步计数器和60进制同步计数器,采用同步的方式设计出一个简单的数字电子钟2.1.1、24进制计数器的设计这个模块是采用原理图输入设计方法,调用两片74160十进制计数器,采用反馈置数法,完成一个24进制同步计数器的设计,具备使能功能和异步清零功能,原理图、功能时序仿真图、封装后的元件分别如图2.1-1、2.1-2、2.1-3。图2.1-1 24进制同步计数器原理图图2.1-2 24进制功能时序仿真图24进制的时序仿真如上图所示,使能端en为高电平时开始计数,当数字达到23时进位,24进制进位信号产生一个脉冲。 图2.1-3 24进制原理图封装图 图2.1-6 60进制原理图封装2.1.2、60进制计数器的设计采用同样的方法可完成一个60进制同步计数器的设计,原理图如图2.1-4所示,时序仿真如图2.1-5所示,设计完成后封装成一个元件,元件封装如图1-6所示。图2.1-4 60进制同步计数器原理图图2.1-5 60进制时序仿真图60进制的时序仿真如上图所示,使能端en为高电平时开始计数,当数字达到59时进位,60进制进位信号产生一个脉冲。2.1.3 、电子时钟的设计利用所设计的60进制计数器和24进制计数器元件,采用同步的方式可以设计一个简单的数字电子钟,原理框图如图2.1-7所示,并进行时序仿真,时序仿真如图2.1-8所示。具有时分秒功能显示功能、使能功能和异步清零功能。设计完成后封装成一个元件,元件封装如图2.1-9所示。图2.1-7 简单的数字电子钟原理框图使能端en为高电平时开始计数,当数字达到23时59分59秒时进位,电子钟进位信号产生一个脉冲,循环计数。图2.1-8 简单的数字电子时钟时序仿真图图2.1-9 24小时制电子钟封装元件 mg、ms、fg、fs、sg、ss分别为电子钟的秒个位、十位,分个位、十位和时个位、十位。22、电子时钟可调设计 数字钟实际上是一个对标准频率(1HZ)进行计数的计数电路,由于计数的起始时间不可能与标准时间一致,故需要在电路上加一个校时电路,实现对电子钟计时器进行调时和调分。通过对使能端的控制可以实现对电子钟的调整。可调电子钟原理图如下图2.2-1所示,元件封如图2.2-2所示。图2.2-1 电子钟可调原理图图2.2-2 电子钟可调封装元件图 通过按键对使能端的控制ts、tf可对电子钟进行时分的调整,每按下一次按键可对时或分进行一次计数。23、电子时钟闹钟设计电子时钟的闹钟设计可调用电子时钟可调的设计,可实现定时器功能,可任意设定闹钟时间。元件如下图2.3-1所示。图2.3-1 闹钟模块24、比较模块设计-library ieee; -正常计时也闹钟时间比较模块use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity bijiao isport(set:in std_logic; mg,ms,fg,fs,sg,ss:in std_logic_vector(3 downto 0); nfg,nfs,nsg,nss:in std_logic_vector(3 downto 0); ml,mh,fl,fh,sl,sh:out std_logic_vector(3 downto 0);end bijiao;architecture one of bijiao isbeginml=mg when set=0 else 0000;mh=ms when set=0 else 0000;fl=fg when set=0 else nfg;fh=fs when set=0 else nfs;sl=sg when set=0 else nsg;sh=ss when set=0 else nss; end one;- 比较模块是实现LED显示正常计时或闹钟设置时间功能的,当闹钟设置信号set为0时输出正常计时的数据,当set为1时输出闹钟设置的数据,此次设计通过VHDL编写程序实现比较功能,封装元件如图2.4-1所示,波形功能时序仿真如图2.4-2所示。图2.4-1 比较模块封装元件 Set为闹钟开关,第一位m、f、s分别表示秒、分、时,第二位g和l、s和h分别表示个位和十位,n代表闹钟时间,闹钟只显示时和分,闹钟的秒以0显示。图2.4-2 比较模块时序仿真图 由图可以看出当set为低电平时,选择正常计时即mg、ms,fg、fs、sg、ss输出,当set为高电平是选择nmg、nms,nfg、nfs、nsg、nss即闹钟时间输出。实现了比较的功能。25、闹铃模块设计library ieee; -闹铃模块use ieee.std_logic_1164.all;entity sound isport(clk,close:in std_logic; fg,fs,sg,ss:in std_logic_vector(3 downto 0); nfg,nfs,nsg,nss:in std_logic_vector(3 downto 0); soundout:out std_logic);end sound;architecture a of sound isbeginsoundoutyyyyyyyyyyyyyyyyy=11111111;end case;end process;sel=11111110;end m1;-7段LED译码显示器的时序仿真图如图2.6-1所示:图2.6-1 7段LED译码显示器的时序仿真s3为四位二进制输入,y为输出,例如:当输入为“0101”即5时,输出为“10110110”,分别代表数码管的a、b、c、d、e、f、g、h,其中a、c、d、f、g被点亮,显示“5”。十进制数字对应的LED译码器表示如下表2.6-2所示。表2.6-2 十进制数字对应的LED译码器表示十进制二进制LED共阴极译码器输出0000011111100100010110000020010110110103001111110010401000110011050101101101106011010111110701111110000081000111111109100111100110101010111011101110110011111012110010011100131101011110101411101001111015111110001110下图2.6-3为七段LED译码显示器的封装元件图:图2.6-3 七段LED译码显示器封装元件2.6.2 、六进制计数器模块 在QuartusII平台上,采用文本输入设计方法,通过编写VHDL语言程序,完成了6进制计数器的设,计并进行时序仿真,六进制的时序仿真图设计完成后生成一个元件,以供更高层次的设计调用。此处六进制计数器是为了实现对数码管进行位选,电子钟需要6个数码管。-library ieee; -六进制计数器use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity liu6 isport(ci,rset,clk:in std_logic; a6:buffer std_logic_vector(2 downto 0); co:out std_logic);end entity liu6;architecture art of liu6 isbeginco=1when(a6=101 and ci=1)else 0;process(clk,rset) isbeginif(rset=0) thena6=000;elsif(clkevent and clk=1) thenif(ci=1)thenif(a6=5)thena6=000;else a6yyyyyyyyy=11111111;end case;end process;end m1;-图2.6-6 3-6译码器时序仿真图图2.6-7 3-6译码器封装元件2.6.4 、六选一数据选择器模块-library ieee; - 六选一数据选择器use ieee.std_logic_1164.all;entity lxyym isport(sel4:in std_logic_vector(2 downto 0); a,b,c,d,e,f:in std_logic_vector(3 downto 0); y:out std_logic_vector(3 downto 0);end lxyym;architecture art of lxyym isbeginy=a when sel4=000 else b when sel4=001 else c when sel4=010 else d when sel4=011 else e when sel4=100 else f when sel4=101 else ZZZZ;end art;-图2.6-8 六选一数据选择器封装元件图2.6-9 六选一数据选择器时序仿真2.6.5 、动态扫描显示数码管为共阴极,低电平选中,电子钟等模块的使能、清零信号等直接接电源或地(正常工作)。LED动态扫描控制器的原理图如下图2.6-10所示,调用了前面的电子钟模块、LED显示译码器模块及其六进制计数器模块、译码器模块等,实现了电子钟时、分、秒的结果在实验箱数码管上以动态扫描的方式显示出来,并实现了时、分的可调,和闹钟功能。图2.6-10 电子时钟动态扫描控制器原理框图三、总原理图及引脚锁定图3.1、总原理图图3.1-1 多功能电子钟总原理图3.2、引脚锁定图3.2-1 多功能电子钟引脚锁定 对总原理图进行编译和引脚锁定再次编译后下载到实验箱上进行验证,选择的芯片为实验结果正确,实现了对电子钟的可调设计和闹钟功能设计。基于clyEP3C80F484C8芯片:七段译码器y7-y1:PIN_N22、PIN_N21、PIN_M16、PIN_M20、PIN_M19、PIN_M22、PIN_M21;3-8译码器y87-y80:PIN_J19、PIN_J18、PIN_J20、PIN_K21、PIN_K22、PIN_K19、PIN_K18、PIN_L21;en、en5:PIN_T19(拨码开关K1)、PIN_D6(en电子时钟的使能高电平有效,en5接地);ts、tf:PIN_U15(按键AN1)、PIN_U14(按键AN2)调时、调分;nts、ntf:PIN_P20、PIN_P22(按键AN3、AN4)闹钟调时调分;closek6:PIN_A20拨码开关,止闹开关;set:PIN_T17闹钟设置开关。四、结论与心得 本次课程设计是通过所学的EDA知识,自主设计程序,将所学的知识运用到实处,感觉收获很大,通过这次的课程设计使我将理论的知识联系到实际运用中来,巩固了以前学习的知识,并且有了新的收获,进一步熟悉了软件运用和实验箱的使用,增强了自我动手能力。学习EDA课程已经过去一段时间,好多知识开始模糊,所以在实验过程中要经常翻阅
温馨提示
- 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
- 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
- 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
- 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
- 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
- 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
- 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。
最新文档
- 作为网络与信息安全题库及答案解析
- 退耕宣传方案范本
- 语言运用之成语教学课件
- 音乐教学课件制作方法
- 售后客服年中工作总结
- 文旅项目设计汇报
- 运输企业安全培训资料课件
- 运输企业安全培训内容课件
- 脑震荡的护理查房
- 部编灰雀教学课件
- GB/T 46238-2025淡水水下搜救机器人通用技术条件
- 快递分拣人力承包协议书
- 医疗损害责任界定-洞察及研究
- 2025版施工合同主体变更与工程竣工结算协议
- 浙江省G12名校协作体2025学年第一学期9月高三上学期开学联考生物试卷
- 人民防空防护设备管理办法
- 2025年海南省社区工作者招聘考试笔试试题(含答案)
- 选矿技术基础知识培训课件
- 2025年全国中学生天文知识竞赛考试题库(含答案)
- 2025至2030中国空间机器人学行业项目调研及市场前景预测评估报告
- 筠连王点科技有限公司3万吨-年复合导电浆料配套10吨-年碳纳米管粉体项目环评报告
评论
0/150
提交评论