




已阅读5页,还剩9页未读, 继续免费阅读
版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领
文档简介
目录 引言2 第一章 方案设计2第二章 系统设计32.1 系统框图.32.2 各模块设计.32.2.1外部数据高速采集模块的设计思路.42.2.2 数据存储模块设计.42.2.3 基于FPGA的中央数据处理模块.52.2.4 信号输出、显示模块.5第三章 软件设计与仿真6 3.1 编程开发环境与软件实现.6 3.2 模块仿真.7第四章 结束语 .8第五章 参考文献8第六章 附录.9通用型自动升降电梯设计设计人:潘礼 阮赐朋 王怀涛指导老师: 黄智伟 王彦南华大学电子实验室 2003.08.03摘 要:该通用型自动电梯系统基于FPGA,利用VHDL语言,结合高频晶振完成对外部数据的精确采集、实时处理,实现了电梯的自动流程控制。该系统综合了智能化关门、超载报警及简单的看门狗功能,使其实用性大大提高。关键字:高速数据采集 自动流程控制 方向优先控制引 言:现代生活中电梯已经很常见,电梯的结构一般可划分为三大模块: 外部请求接收模块、请求信号处理模块、控制信号输出模块。传统的模拟控制电梯由于耗电量大,功能扩展不便等原因,早已被新兴的数字控制式电梯所取代。本设计属数字逻辑控制式电梯,由于其采用FPGA作为设计平台,性能上远远优于基于单片机的数字控制电梯系统。下图是一般电梯模型:图 0-1. 一般电梯系统模型图一、方案设计:现实生活中迎合不同的需要,电梯的工作方式不尽相同。生活中一般应用的电梯的控制方式有方向优先控制、内部请求优先控制、单向层层停等控制(即方向在顶层或底层才改变,且电梯每层都停下、开门等候)等,现就以上几种控制方式的优劣进行一下对比:1、 内部请求优先控制方式方案内部请求优先控制方式类似于出租车的工作方式,先将车上的人送至目的地,再去载客。作为通用型电梯应该服务于大多数人,必须考虑电梯对内、外请求的响应率P: Pin = 100%; Pout = 0100%;外部人的请求和内部人的请求冲突时,外部人的请求信号可能被长时间忽略,因而它不能作为通用型电梯的设计方案。2、 单向每层停等控制方式方案单向每层停等控制方式等同于火车的运行方式,遇站即停止、开门。这种方案的优点在于“面面俱到”,可以保证所有人的请求都能得到响应。然而这样对电梯的效率产生消极影响:不必要的等待消耗了大量时间,而且电梯的运作与用户的请求无关,当无请求时电梯也照常跑空车,就浪费了大量电能。对用户而言,此种控制方式的请求响应时间也不是很快。因而这不是理想的方案。3、 方向优先控制方式方案 所谓方向优先控制是指电梯运行到某一楼层时先考虑这一楼层是否有请求:有,则停止; 无,则继续前进。停下后再启动时考虑前方上方、或下方是否有请求:有,则继续前进;无,则停止,检测后方是否有请求, 有请求则转向运行, 无请求则维持停止状态。这种运作方式下,电梯对用户的请求响应率为100%,且响应的时间较短。假设: 电梯每两层间的运行时间为T ,楼层数为6, 在每层楼的停止时间为t, 如果每层楼都有请求,则这种控制方式的效率和上面的单向每层停等控制方式的效率一样, 然而, 当不是每层楼都有请求 (假定为只有第6层有请求输入) 时,上面的那种方式(设为A方式)的响应时间T=5*(T + t ) 而方向优先控制方式(设为B方式)对同一请求的响应时间T1=5*T 即效率比 b/a = 1 + t /T 由于T和 t 是可比的,因而方向优先控制方式的效率远大于单向每层停等控制方式的效率。 而且,方向优先控制方式下,电梯在维持停止状态的时候可以进入省电模式,又能节省大量电能,因此,确定方向优先控制方式为设计主体思路的方案。二、系统设计 1. 系统框图 如图 2-1 所示图 2-1 系统总体结构图 2. 各模块设计 外部数据高速采集模块的设计思路电梯系统对外部信号采集、处理的一般要求: A) 外部请求信号的实时、准确采集。B) 准确、实时的捕捉楼层到达信号。C) 有效的防止楼层到达信号、外部请求信号的误判。 本系统采用现场可编程逻辑器件作为系统控制的核心,系统采集外部数据的频率是32.0000MHz,完全可以满足实时采集数据的要求。信号误判率是信号采集系统的一个重要指标,由于电路中毛刺现象的存在,信号的纯净度降低,单个的毛刺往往被误作为系统状态转换的触发信号,严重影响电梯的正常工作。本系统采用多次检测的方法解决了这个问题,对一个信号进行多次采样以保证信号的可信度。 外部请求信号的输入形式为按键输入,到达楼层信号来自光敏传感器,关门中断信号及超载信号则产生于压力传感器。 键盘、光敏外部输入接口电路如下:图 2-3. 到达楼层信号光电接口 数据存储模块该电梯系统的高度自动化、半智能化的性能基于高效的中央处理模块和数据的存储模块。电梯系统的数据存储方式有两种: 1、 外部RAM存储。 外部RAM一般为专用存储器件,存储空间较大,价格比较昂贵,因而不适于电梯这种数据存储空间要求不大的系统。另外,单片机内集成的RAM也可作为一种外部RAM,但这样增加了单片机与FPGA中央控制模块的接口设计,且调试比较困难,故此,该设计没采用以上方案。2、 内部集成RAM存储。因为FPGA支持内部RAM的设计,且该电梯系统的存储数据较少,所以将RAM集成在FPGA内部不仅不会占用多少资源,而且提高了数据的存取速度。该系统的请求输入信号有12个( 6个电梯外部用户输入,6个电梯内部用户输入),由于系统对内、外请求没有设置优先级,各楼层的内、外请求信号被采集后可先进行或运算,再存到一个6位的存储器内。另外,方向信号、关门中断信号及超载信号的存储只需要3位,到达楼层信号的移位存储要6位空间,总计只需要15位的存储空间,如此小的存储空间占用资源极少,因而系统在基于FPGA的中央处理模块中集成了RAM。 电梯运行过程中,由于用户的请求信号的输入是离散的,而且系统对请求的响应也是离散的,因此请求信号的存储要求新的请求信号不能覆盖原来的请求信号,只有响应动作完成后才能清除存储器内对应的请求信号位。对应某一楼层的请求信号的存储、清除电路如下:图2-4. RAM 请求位操作电路图 到达楼层信号的移位存储是通过软件编程实现的,具体工作模式参看移位存储模块的软件仿真图(图 3-2)。实际的RAM操作电路的具体实现在FPGA芯片内部,上图仅是对编程思路的直观电路体现。 基于FPGA的中央处理模块 中央数据处理模块是系统的核心,通过对存储的数据(含请求、到达楼层等信号)进行比较、判断以驱动系统状态的流转。电梯工作过程中共有种状态:等待、上升、下降、开门、关门、停止、休眠、超载报警以及故障报警状态。一般情况下,电梯工作起始点是第一层,起始状态是等待状态,启动条件是收到上升请求。系统的状态流转图如下: 图 2-5. 系统状态流转图NOTE:上图中,超载状态时电梯关门动作取消,同时发出警报,直到警报被清 除; 故障时电梯不执行关门动作,同时发出警报,直到警报被清除(看门狗信号有效的条件是一层楼连续发生关门中断情况超过9次)。 本系统由请求信号启动,运行中每检测到一个到达楼层信号,就将RAM中的请求信号和楼层移位寄存信号进行比较,再参考原方向信号来决定是否停止,转向等动作。 信号的输出、显示模块 本系统的输出信号有两种: 一种是电机的控制信号(两位);另一种是面向用户的提示信号(含楼层显示、方向显示、已接受请求显示等)。 电机的控制信号一般需要两位,本系统中电机有3种工作状态: 正转、反转和停转状态。 两位控制信号作为一个三路开关的选通信号,根据模数分离(模拟电路与数字电路分离)的原则,此三路开关选用模拟电子开关(MOSFET开关或IGBT开关)。由于MOSFET、IGBT开关需要的电压比控制系统的逻辑输出电压复杂的多,因而直接用FPGA的输出驱动IGBT近似不可能,我们使用模拟电子开关的专用驱动电路来实现FPGA控制信号的输出。该系统设计中采用IGBT开关,驱动芯片型号为EXB841.单一IGBT开关应用EXB841驱动的具体电路如图2-6。 系统的显示输出包括数码管楼层显示、数码管请求信号显示和表征运动方向的箭头形指示灯的开关信号。此类器件对电压要求不高,一般数码管只需串入限流电阻即可。本设计选用8线数码管,单个数码管电路如图2-7,数码管上还有一条是电源线(接地或接+5V电源)。电压控制式开关相对简单,电路图省略。 本系统新添加了请求信号显示功能,结合方向显示,可以减少用户对同一请求的输入次数,这样就延长了电梯按键的使用寿命。假如电梯处于向上运动状态,初始位置是底层,初始请求是6楼,2楼时进入一人,如果他的目的地也是6楼,他看到初始请求是6楼,就可以不再按键,相当于搭车。同时,电梯外部的热也可根据显示的楼层请求和现时的运动方向来判断要不要立即按键输入请求。电梯使用时,系统结合相应的电梯使用规范完全可以满足人们的需要,而且效率比较高。图 2-6. 单IGBT的EXB841芯片驱动电路 三、 软件设计与仿真1、 编程开发环境与软件实现本系统的中央处理模块及数据采集存储模块是应用VHDL语言对FPGA编程实现的。在基于XILINX公司的SPARTAN2器件系列的xc2s100-6pq208-XST芯片的清华同方股份有限公司生产的TPG_FPGA教学实验箱上,系统通过模拟调试达到预定要求。2、模块仿真A. 请求存储模块仿真 在该模块介绍时,我们给出这一模块的逻辑图(图 2-4),该模块的软件仿真图如图3-1。图中,CLK为时钟信号,REQ_O5.0是外部请求信号,REQ_I5.0是内部请求信号,FLOOR是到达楼层信号,RAM是存储器标志。图 3-1. 请求信号操作波形仿真B. 到达楼层信号的移位存储仿真此模块就是一个移位寄存器,以8Hz的CLK信号为驱动时钟,检测到达楼层信号(FLOOR),当(FLOOR)为1时,6位存储器内的数据(设为“000100”)集体循环移动一位: 运动方向(direction)为1时,向高位移;反之,向低位移。 FLOOR为0时,存储器内数据保持不变。仿真图如下:图 3-2. 移位寄存器仿真图C. 中央处理模块仿真中央数据处理模块的主要作用是根据请求存储器(RAM)内的数据和移位寄存器(RAM1)的数据以及原来电梯的运动方向来判断电梯的下一个状态。具体仿真图如图3-3:图 3-3. 中央数据处理模块仿真图 NOTE: 图中RAM,RAM1和DIRECTION为内部节点,数据处理过程中数据即作为判断的依据,又可被修改。 D 看门狗模块仿真 所谓看门狗程序就是一种故障检测程序。电梯在工作过程中必须关好门后才能上升或下降,不然会威胁电梯乘客的人身安全,本系统集成的看门狗恰恰很好的解决了这个问题。考虑到电梯关门过程中,乘客用手挡门情况的存在,系统将关门失败作为一个被检测信号,并对失败的次数进行累加,如果在一层楼关门失败次数超过3次,系统将不再认为关门失败是出于乘客的需要,而是作为机械故障触发故障信号,电梯将停止工作直到管理员检查、确定没有故障后,由管理员按clr键清除警报,电梯再次进入工作状态。图 34. 看门狗功能仿真图四、结束语 本系统在实验板上经过模拟测试,性能达到预定设计。该系统具有较强的独立性可单独较高效地完成通用电梯的工作,亦可电梯群设计的基础模型。系统综合的智能化关门、看门狗等功能进一步增强了电梯的实用性与安全性。五、参考文献: 1 金发庆, 传感器技术与应用 ,北京:机械工业出版社. 2 甘 历, VHDL应用与开发实践 ,北京:科学出版社,2001 3 高吉祥, 电子技术基础实验与课程设计 ,北京:电子工业出版社,2001 六、附录程序清单: library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;use ieee.std_logic_arith.all;entity dianti is port ( clk,full,deng,quick : in std_logic; clr : in std_logic; -清除警报信号 c1,c2,c3,c4,c5,c6 : in std_logic; -电梯外人的请求信号 d1,d2,d3,d4,d5,d6 : in std_logic; -电梯内人的请求信号 g1,g2,g3,g4,g5,g6 : in std_logic; -到达楼层信号 door : out std_logic_vector(1 downto 0); -开门、关门等门控制信号 lcd : out std_logic_vector(6 downto 0); -所在楼层显示 loud,louc : out std_logic_vector(5 downto 0); -状态显示 wahaha : out std_logic; -看门狗报警信号 up,down,ud,alarm : out std_logic -电机控制信号和电梯运动-方向显示信号); end dianti;architecture behav of dianti issignal d11,d22,d33,d44,d55,d66:std_logic; -电梯外人请求信号寄存信-号signal c11,c22,c33,c44,c55,c66:std_logic; -电梯内人请求信号寄存信-号signal q:integer range 0 to 31999999; -分频信号signal q1:integer range 0 to 2; signal q2:integer range 0 to 9;signal dd,cc:std_logic_vector(5 downto 0);signal updown:std_logic;begincom:process(clk)beginif clkevent and clk=1 then if full=1 then alarm=1; elsif q=31999999 then q=0;alarm=0; if q2=9 then wahaha=1; elsif g1=1 then lcd=1001111; if d11=1 or c11=1 then door=10;d11=0;c11=0;up=0;down=0;q2=0;q1000001 or dd000001 then if deng=1 then door=10;q2=q2+1; elsif quick=1 then q1=1; elsif q1=3 then door=00;updown=1;up=1 then door=01;q1=q1+1; else q1=q1+1;door=00; end if; elsif cc=000000 and dd=000000 then door=00;down=0; up=0; end if; elsif g2=1 then lcd=0010010;if d22=1 or c22=1 then door=10;d22=0; c22=0;up=0;down=0;q2=0;q1000011 or dd000011 then if deng=1 then door=10; q2=q2+1; elsif quick=1 then q1=1; elsif q1=3 then door=00;updown=1;up=1 then door=01;q1=q1+1; else q1=q1+1;door=00; end if; elsif dd000010 or cc000010 then if deng=1 then door=10; q1=0;q2=q2+1; elsif quick=1 then q1=1; elsif q1=3 then door=00;updown=0;down=1 then door=01;q1=q1+1; else q1=q1+1;door=00; end if; end if; elsif dd000010 or cc000010 then if deng=1 then door=10; q1=0;q2=q2+1; elsif quick=1 then q1=1; elsif q1=3 then door=00;updown=0;down=1 then door=01;q1=q1+1; else q1=q1+1;door000011 or cc000011 then if deng=1 then door=10; q1=0;q2=q2+1; elsif quick=1 then q1=1; elsif q1=3 then door=00;updown=1;up=1 then door=01;q1=q1+1; else q1=q1+1;door=00; end if; end if; elsif g3=1 then lcd=0000110;if d33=1 or c33=1 then door=10;d33=0; c33=0;up=0;down=0;q2=0;q1000111 or dd000111 then if deng=1 then door=10; q1=0;q2=q2+1; elsif quick=1 then q1=1; elsif q1=3 then door=00;updown=1;up=1 then door=01;q1=q1+1; else q1=q1+1;door=00; end if; elsif dd000100 or cc000100 then if deng=1 then door=10; q1=0;q2=q2+1; elsif quick=1 then q1=1; elsif q1=3 then door=00;updown=0;down=1 then door=01;q1=q1+1; else q1=q1+1;door=00; end if; end if; elsif dd000100 or cc000100 then if deng=1 then door=10; q1=0;q2=q2+1; elsif quick=1 then q1=1; elsif q1=3 then door=00;updown=0;down=1 then door=01;q1=q1+1; else q1=q1+1;door000111 or cc000111 then if deng=1 then door=10; q1=0;q2=q2+1; elsif quick=1 then q1=1; elsif q1=3 then door=00;updown=1;up=1 then door=01;q1=q1+1; else q1=q1+1;door=00; end if; end if; elsif g4=1 then lcd=1001100;if d44=1 or c44=1 then door=10;d44=0;c44=0;up=0;down=0;q2=0;q1001111 or dd001111 then if deng=1 then door=10; q1=0;q2=q2+1; elsif quick=1 then q1=1; elsif q1=3 then door=00;updown=1;up=1 then door=01;q1=q1+1; else q1=q1+1;door=00; end if; elsif dd001000 or cc001000 then if deng=1 then door=10; q1=0;q2=q2+1; elsif quick=1 then q1=1; elsif q1=3 then door=00;updown=0;down=1 then door=01;q1=q1+1; else q1=q1+1;door=00; end if; end if; elsif dd001000 or cc001000 then if deng=1 then door=10; q1=0;q2=q2+1; elsif quick=1 then q1=1; elsif q1=3 then door=00;updown=0;down=1 then door=01;q1=q1+1; else q1=q1+1;door001111 or cc001111 then if deng=1 then door=10; q1=0;q2=q2+1; elsif quick=1 then q1=1; elsif q1=3 then door=00;updown=1;up=1 then door=01;q1=q1+1; else q1=q1+1;door=00; end if; end if; elsif g5=1 then lcd=0100100;if d55=1 or c55=1 then door=10; d55=0;c55=0;up=0;down=0;q2=0;q1011111 or dd011111 then if deng=1 then door=10; q1=0;q2=q2+1; elsif quick=1 then q1=1; elsif q1=3 then door=00;updown=1;up=1 then door=01;q1=q1+1; else q1=q1+1;door=00; end if; elsif dd010000 or cc010000 then if deng=1 then door=10; q1=0;q2=q2+1; elsif quick=1 then q1=1; elsif q1=3 then door=00;updown=0;down=1 then door=01;q1=q1+1; else q1=q1+1;door=00; end if; end if; elsif dd010000 or cc010000 then if deng=1 then door=10; q1=0;q2=q2+1; elsif quick=1 then q1=1; elsif q1=3 then door=00;updown=0;down=1 then door=01;q1=q1+1; els
温馨提示
- 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
- 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
- 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
- 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
- 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
- 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
- 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。
最新文档
- 2025下半年港股医药行业以创新药为主线关注出海机会
- 2025年农村一二三产业融合发展的农村物流体系建设报告
- 【高中语文】高考背诵补充篇目+《报任安书》课件
- 2025年冰雪运动主题公园项目运营管理优化与创新研究报告
- 2025年废旧电子产品回收与无害化处理产业链研究报告
- 2025年康复医疗器械市场需求动态与产品创新策略研究报告
- 中药配方颗粒质量标准与市场创新驱动发展研究报告
- 2025年美妆个性化定制服务行业人才培养与职业发展规划报告
- 2025年农村饮用水安全工程资金申请评估报告
- 劳动争议调节仲裁案例
- 某冶金机械厂供配电系统设计
- 收费站年度工作计划
- xx县精神病医院建设项目可行性研究报告
- 《在中亚细亚草原上》赏析 课件
- 城市轨道交通供电技术442页完整版教学课件汇总全书电子教案
- Q/GDW248-2008输变电工程建设标准强制性条文实施管理规程第3部分:变电站建筑工程施工教程文件
- 班组会议运作技巧ppt课件
- 小学生综合素质评价方案与评价表
- 技术比武理论复习题(继电保护)
- 科室医疗质量安全管理与持续改进记录本模板.doc
- DSP课程设计--基于IIR的语音信号滤波
评论
0/150
提交评论