基于VHDL语言的交通灯毕业论文 毕业设计论文
收藏
资源目录
压缩包内文档预览:(预览前20页/共24页)
编号:549217
类型:共享资源
大小:148.16KB
格式:ZIP
上传时间:2015-12-03
上传人:QQ28****1120
认证信息
个人认证
孙**(实名认证)
辽宁
IP属地:辽宁
6
积分
- 关 键 词:
-
毕业设计论文
- 资源描述:
-
基于VHDL语言的交通灯毕业论文 毕业设计论文,毕业设计论文
- 内容简介:
-
扬州环境资源职业技术学院毕业设计(论文) 0 目 录 摘 要 . 1 第一章 前言 . 2 1.1 背景 . 2 1.2 研究目的 . 3 第 2章 EDA在交通灯上的研究发展 . 4 2.1 EDA技术及其发展 . 4 2.2 ED8A与传统电子设计方法的比较及优点 . 5 2.3 VHDL系统 . 6 第 3章 交通灯系统设计的方法 . 8 3.1 交通系统的发展趋势 . 8 3.2 选择 VHDL硬件描述语言设计的优势 . 8 第 4章 交通灯系统的设计 . 10 4.1 设计任务 . 10 4.2题目分析与整体构思 . 10 4.3硬件电路设计与仿真波形 . 10 4.4 程序设计 . 14 第 5章 总结 . 21 参考文献 . 22 致 谢 . 23 nts扬州环境资源职业技术学院毕业设计(论文) 1 摘 要 当今时代是一个自动化时代,交通灯控制等很多行业的设备都与计算机密切相关。因此,一个好的交通灯控制系统,将给道路拥挤、违章控制等方面给予技术革新。随着大规模集成电路及计算机技术的迅速发展,以及人工智能在控制技术方面的广泛运用,智能设备有了很大的发展,是现代科技发展的主流方向。本文介绍了一个交通灯系统的设计。 传统的交通灯控制系统多数由单片机或 PLC 实现,本文介绍的是基于 EDA 技术设计交通灯系统的一种方案。 EDA 技术的一个重要特征就是使用硬件描述语言 (HDL)来完成系统的设计文件,应用 VHDL 的数 字电路实验降低了数字系统的设计难度 ,这在电子设计领域已得到设计者的广泛采用。本设计就是针对交通信号灯控制器的设计问题,提出了基于 VHDL 语言的交通信号灯系统的硬件实现方法。 通过对系统进行结构分析,采用了层次化的设计方法,给出了各个模块的 VHDL 程序,并且利用 Max Plus 对应用程序进行了仿真,并给出了相应的仿真结果。在用 VHDL语言进行电路设计时 ,应充分认识到 VHDL 语言的特点 ,从设计思想、语句运用及描述方法上等多方面对电路进行优化设计。通过电路优化设计 ,可以使用规模更小的可编程逻辑芯片 ,从而降低系统 成本。 关键词: 交通信号灯 VHDL 仿真 nts扬州环境资源职业技术学院毕业设计(论文) - 2 - 第一章 前言 1.1 背景 19 世纪初,在英国中部的约克城,红、绿装分别代表女性的不同身份。其中,着红装的女人表示我已结婚,而着绿装的女人则是未婚者。后来,英国伦敦议会大厦前经常发生马车轧人的事故,于是人们受到红绿装启发, 1868年 12 月 10日,信号灯家族的第一个成员就在伦敦议会大厦的广场上诞生了,由当时英国机械师德 哈特设计、制造的灯柱高 7 米,身上挂着一盏红、绿两色的提灯 -煤气交通信号灯,这是城市街道的第一盏 信号灯 。在灯的脚下,一名手持长杆的警察随心所欲地牵动皮带转换提灯的颜色。后来在信号灯的中心装上煤气灯罩,它的前面有两块红、绿玻璃交替遮挡。不幸的是只面世 23天的煤气灯突然爆炸自灭,使一位正在值勤的警察也因此断送了性命。 从此,城市的交通信号灯被取缔了。直到 1914 年,在美国的克利夫兰市才率先恢复了红绿灯,不过,这时已是 “ 电气信号灯 ” 。稍后又在纽约和芝加哥等城市,相继重新出现了交通信号灯。 随着 各种交通工具的发展和交通指挥的需要,第一盏名副其实的三色灯 (红、黄、绿三种标志 )于 1918 年诞生。它是三色圆形四面投影器,被安装在纽约市五号街的一座高塔上,由于它的诞生,使城市交通大为改善。 黄色信号灯 的发明者是我国的胡汝鼎,他怀着 “ 科学救国 ” 的抱负到美国深造,在大发明家爱迪生为董事长的美国通用电器公司任职员 1。一天,他站在繁华的十字路口等待绿灯信号,当他看到红灯 而正要过去时,一辆转弯的汽车呼地一声擦身而过,吓了他一身冷汗。回到宿舍,他反复琢磨,终于想到在红、绿灯中间再加上一个黄色信号灯,提醒人们注意危险。他的建议立即得到有关方面的肯定。于是红、黄、绿三色信号灯即以一个完整的指挥信号家族,遍及全世界陆、海、空交通领域了。 从最早的手牵皮带到 20 世纪 50 年代的电气控制,从采用计算机控制到现代化的电子定时监控,交通信号灯在科学化、自动化上不断地更新、发展和完善。 交通指挥灯是非裔美国人加莱特 ?摩根在 1923 年发明的。此前,铁路交通已经使用自动转换的灯光信号有一段时间了 。但是由于火车是按固定的时刻表以单列方式运行的,而且火车要停下来不是很容易,因此铁路上使用的信号只有一种命令:通行。公路交通的红绿灯则不一样,它的职责在很大程度上是要告诉汽车司机把车辆停下来。 开车的人谁也不愿意看到停车信号。美国夏威夷大学心理学家詹姆斯指出,人有一种将刹车和油门与自尊相互联系的倾向。他说:驾车者看到黄灯亮时,心里便暗暗作好加速的准备。如果此时红灯亮了,马上就会产生一种失望的感觉。他把交叉路口称作 “ 心理动力区 ” 。如果他的理论成立的话,这个区域在佛罗伊德心理学理论中应该是属于超我而非本能的范畴 。 新式的红绿灯能将闯红灯的人拍照下来。犯事的司机不久就会收到罚款单。有的红绿灯 还具备监测车辆行驶速度的功能。 最早的交通灯出现于一八六八年英国伦敦。那时的交通灯只有红、绿两色,经改良后, 再增加一盏黄色的灯,红灯表示停止,黄灯表示准备,绿灯则表示通行。 其实 ,用这三色来作交通讯号和人的视觉机能结构和心理反应有关。 我们的视网膜含有杆状和三种锥状感光细胞,。杆状细胞对黄色的光特别敏感,三种锥状细nts扬州环境资源职业技术学院毕业设计(论文) - 3 - 胞则分别对红光、绿光及蓝光最敏感。由于这种视觉结构,人最容易分辨红色与绿色。虽然黄色与蓝色也容易分辨,但因为眼 球,对蓝光敏感的感光细胞较少,所以分辨颜色,还是以红、绿色为佳。所以,交通灯用什么颜色也是有大学问的呀! 颜色也有活动 (activity)的含意,要表达热或剧烈的话,最强是红色,其次是黄色。绿色则有较冷及平静的含意。因此,人们常以红色代表危险,黄色代表警觉,绿色代表安全。 而且,由于红光的穿透力最强,其他颜色的光很容易被散射,在雾天里就不容易看见,而红光最不容易被散射,即使空 气能见度比较低,也容易被看见,不会发生事故。所以我们用红色表示禁止。 1.2 研究目的 不同的城市 存在着 不同 的 城市问题,但 其中有 一个共同的问题 就是 城市交通 。在交叉 路 口如何解决混合交通流中的相互影响,就是解决问题的关键 所在 !随着我国经济的稳步发展,人民生活水平 的 日渐提高,越来越多的汽车进入寻常百姓的家庭,再加上政府大力 地 发展公交、出租车 行业 , 道路上的 车辆越来越多,使得城市的交通成为了一个主要的问题。 严重的拥堵 现象 , 逐渐恶化的 城市环境 ,都给广大市民带来了许多困扰 。 要解决这些问题 不仅要求道路越来越宽阔,而且 更需要 有新的交通管理模式出台。旧有的交通控制系统的弊病和人们越来越高的要求激化了矛盾,使原来不太突出的交通问题被提上了日程。现在有关部 门愈来愈多的注重在交通管理中引进自动化、智能化技术,比如 “ 电子警察 ”、 自适应交通信号灯 ,以及耗资巨大的交通指挥控制系统等。经济的发展和社会的进步, 使 道路交通成为社会活动的重要组成部分。对交通的管控能力,也就从侧面体现了这个国家对整个社会的管理控制能力,因此 我们国家越来越 重视 运用 各种高科技手段来 加强 对交通的管控能力 ,这不仅 是衡量城市交通管理水平的标志, 更 是 城市 交通发展的重要目标。 nts扬州环境资源职业技术学院毕业设计(论文) - 4 - 第 2 章 EDA在交通灯上的研究发展 2.1 EDA技术及其发展 现代电子设计技 术的核心是 EDA( Electronic Design Automation)技术。 EDA技术就是依赖功能强大的计算机,在 EDA工具软件平台上,对以硬件描述语言 HDL( Hardware Description Language)为系统逻辑描述手段完成的设计文件,它能自动地完成逻辑编译、逻辑化简、逻辑分割、逻辑综合、结构综合(布局布线),以及逻辑优化和仿真测试,直至实现既定的电子线路系统功能。 EDA 技术使得设计者的工作仅限于利用软件的方式,即利用硬件描述语言和EDA 软件来完成对系统硬件功能的实现。 EDA 技术在 进入 21 世纪后,得到了更大的发展。在仿真和设计两方面支持标准硬件描述语言的功能越来越强大,软硬件技术也进一步得到了融合,在电子行业的产业领域、技术领域和设计应用领域得到了进一步的肯定,使得复杂电子系统的设计和验证趋于简单化。 随着大规模集成电路技术和计算机技术的不断发展,在涉及通信、国防、航天、医学、工业自动化、计算机应用、仪器仪表等领域的电子系统设计工作中, EDA技术的含量正以惊人的速度上升 ;电子类的高新技术项目的开发也逾益依赖于 EDA技术的应用。即使是普通的电子产品的开发,EDA 技术常常使一些原来的技术瓶 颈得以轻松突破,从而使产品的开发周期大为缩短、性能价格比大幅提高 2。不言而喻, EDA技术将迅速成为电子设计领域中的极其重要的组成部分。 EDA( Electronic Design Automation,电子系统设计自动化 )技术是 20 世纪 90 年代初从CAD(计算机辅助设计 )、 CAM(计算机辅助制造 ),CAT(计算机辅助测试 )和 CAE(计算机辅助工程 )的概念发展而来的。现代 EDA 技术就是以让算机为工具,在 EDA 软件平台上,根据硬件描述语言 HDL完成的设计文件,能自动地完成用软件方式描述的电子系统到硬件系统 的逻辑编译、逻辑化简、逻辑分割、逻辑综合及优化、布局布线、逻辑仿真,直至完成对于特定目标芯片的适配编译、逻辑映射和编程下载等工作。设计者的工作仅限于利用软件的方式来完成对系统硬件功能的描述,在 EDA 工具的帮助下和应用相应的 FPGA/CPLD 器件,就可以得到最后的设计结果。尽管目标系统是硬件,但整个设计和修改过程如同完成软件设计一样方便和高效。可见 , 利 用 EDA技术进行电子系统的设计,具有以下几个特点 : 1)用软件的方式设计硬件 ; 2)用软件方式设计的系统到硬件系统的转换是由有关的开发软件自动完成的 ; 3)采用自顶向下 (top-down)的设计方法 ; 4)设计过程中可用有关软件进行各种仿真 ; 5)系统可现场编程,在线升级 ; 6)整个系统可集成在一个芯片上,体积小、功耗低、可靠性高。因此, EDA代表了当今电子设计技术的最新发展方向 7。 EDA技术涉及面很广,内容丰富,从教学和实用的角度看,主要应掌握如下四个方面的内容 : 1)大规模可编程逻辑器件 ; 2)硬件描述语言 ; 3)软件开发工具 ; nts扬州环境资源职业技术学院毕业设计(论文) - 5 - 4)实验开发系统。其中,大规模可编程逻辑器件是利用 EDA技术进行电子系统 设计的载体,硬件描述语言是利用 EDA 技术进行电子系统设计的主要表达手段,软件开发工具是利用 EDA技术进行电子系统设计的智能化的自动设计工具,实验开发系统则是利用 EDA 技术进行电子系统设计的下载工具及硬件验证工具 8。 PLD( Porgrammable Logic Device,可编程逻辑器件 )是一种由用户编程以实现某种逻辑功能的新型逻辑器件。 FPGA 和 CPLD分别是现场可编程门阵列和复杂可编程逻辑器件的简称,两者的功能基本相同,只是实现原理略有不同,所以我们有时可以忽略这两者的区别,统称为可编程逻辑器 件或 CPLD/FPGA. PLD是电子设计领域中最具活力和发展前途的一项技术, PLD能完成任何数字器件的功能 3。 PLD如同一张白纸或是一堆积木,工程师可以通过传统的原理图输入法,或是硬件描述语言自由的设计一个数字系统,通过软件仿真,我们可以事先验证设计的正确性。在 PCB 完成以后,还可以利用 PLD 的在线修改能力,随时修改设计而不必改动硬件电路。使用 PLD 来开发数字电路,可以大大缩短设计时间,减少 PCB 面积,提高系统的可靠性。 PLD 的这些优点使得 PLD 技术在 20 世纪 90 年代以后得到飞速的发展,同时也大大推动 了 EDA软件和硬件描述语言 (HDL)的进步 9。 硬件描述语言 (HDL)是相对于一般的计算机软件语言如 C、 Pascal 而言的。 HDL 是用于设计硬件电子系统的计算机语言,它描述电子系统的逻辑功能、电路结构和连接方式。 HDL 具有与具体硬件电路无关和与设计平台无关的特性,并且具有良好的电路行为描述和系统描述的能力,并在语言易读性和层次化结构化设计方面,表现了强大的生命力和应用潜力。用 HDL进行电子系统设计的一个很大的优点是设计者可以专心致力于其功能的实现,而不需要对不影响功能的与工艺有关的因素花费过多的时间和精 力。 2.2 EDA与传统电子设计方法的比较 及优点 与传统的电子设计方法相比, EDA 技术对于复杂电路的设计和调试都比较简单,如果某一过程存在错误,查找和修改起来比较方便,而且 EDA技术的可移植性很强。 与世界各知名高校相比,我国高等院校在 EDA 及微电子方面的教学和科研工作有着明显的差距,我们的学生现在做的课程实验普遍陈旧,动手能力较差。从某种意义上来说,EDA 教学科研情况如何,代表着一个学校电类专业教学及科研水平的高低,而 EDA 教学科研工作开展起来后,还会对微电子 类、计算机类学科产生积极的影响,从而带动各高校相应学科的同步发展 10。 由于可编程逻辑器件性能价格比的不断提高,开发软件功能的不断完善,而且由于用EDA 技术设计电子系统具有用软件的方式设计硬件 ;设计过程中可用有关软件进行各种仿真 ;系统可现场编程,在线升级 ;整个系统可集成在一个芯片上等特点,使其将广泛 应用于专用集成电路和机械、电子、通信、航空航天、化工、矿产、生物、医学、军事等各个领域新产品的开发研制中 4。 传统机电设备的电器控制系统,如果利用 EDA 技术进行重新设计或进行技术改造,不但设计周期短、 设计成本低,而且将提高产品或设备的性能,缩小产品体积,提高产品的技术含量,提高产品的附加值。 EDA 技术是电子设计领域的一场革命,目前正处于高速发展阶段,每年都有新的 EDA工具问世,我国 EDA技术的应用水平长期落后于发达国家,如果说用于民品的核心集成nts扬州环境资源职业技术学院毕业设计(论文) - 6 - 电路芯片还可以从国外买的到的话,那么军用集成电路就必须依靠自己的力量研制开发,因为用钱是买不到国防现代化的,特别是中国作为一支稳定世界的重要力量,更要走自主开发的道路 5。强大的现代国防必须建立在自主开发的基础上,因此,广大电子工程技术人员应该尽早掌握这一先进 技术,这不仅是提高设计效率和我国电子工业在世界市场上生存、竟争与发展的需要,更是建立强大现代国防的需要 6 2.3 VHDL系统 VHDL 是一种符号,并且是简明完全定义的语言参考手册 (LRM)。除了其它硬件描述语言此套 VHDL, 这在一定程度上定义了一个专案方式的行为工具使用它们。 VHDL是一个国际标准,按照 IEEE的规则。这种语言的定义是非专有。 VHDL不是一个信息模型,数据库模式,一种模拟器,一个工具或一种方法!然而,对于有效地利用 VHDL这种方法和工具是最基本的。 模拟和合成是使用 VHDL语言两 种主要的工具。这种语言参考手册没有定义模拟器,但明确定义了每个模拟器必须使用每一部分的语言。 VHDL 并不限制用户使用一种描述方式。 VHDL 允许使用任何方式去描述设计 -自顶向下 ,自底向上或中间空出! VHDL 可以用来描述硬件在门级或更抽象的方式。成功的高水平的设计需要一种语言,一套工具和一种适当的方法。 VHDL是这种语言,你选择这种工具和方法 . 好,我猜想这就是 doulos产生的方程! 使用 VHDL硬件描述语言的优势 : 常常有报道说 ,大多数集成电路设计第一时间看到它们的规格时 ,当加如一个系统的时候却不能 工作。 VHDL 使这一问题得到两种解决方式 : 一种 VHDL的规格 ,是要在正确设计的情况下达到其最高的可信度才能执行。才能得到执行 ,并且可以模拟 1 到 2 个量级比门级描述要快。一种 VHDL 规格的一部分 ,可以形成基础上建立一个模拟模型 ,以核实运作的部分在更广泛的系统背景 (如印刷电路板的模拟 )。这将取决于如何正确处理规范等方面的时间和初始化。 行为仿真可以减少设计时间,使设计的问题要及早发现 , 避免在门级重新做设计。行为仿真还允许设计优化探讨替代体系结构,从而更好地设计。 VHDL 硬件设计及测试板描述在设计工具之间是 携带方便的 ,并且在设计中心和项目合作伙伴也是非常方便的。 你可以安全地投资在 VHDL模型工作和培训,明知你不会被捆绑在一个单一的工具,但将通过工具和平台免费保护你的调查。另外,设计自动化工具的供应商将自己制作一个大的投资在 VHDL,确保持续供应 VHDL工具的形态艺术。 VHDL 许可证技术通过支持自顶向下的设计和逻辑合成进行独立设计。 提出了设计一种新技术 ,你不需要从零开始 ,或颠倒工程的规格,替代你返回设计总枝干用 VHDL 的行为描述,然后执行这样在新科技上认识到正确的功能将被保留。 硬件描述语言的主要优点 : VHDL 是一种全方位的硬件描述语言,包括系统行为级、寄存器传输级和逻辑门级多个设计层次,支持结构、数据流、行为 3 种描述形式的混合描述,因此 VHDL 几乎覆盖了以往各种硬件描述语言的功能, 整个自顶向下或自底向上的电路设计过程都可以用 VHDL 来完成。 VHDL在电子设计中具有以下优点: nts扬州环境资源职业技术学院毕业设计(论文) - 7 - ( 1) 全方位硬件描述 从系统到电路。 VHDL 具有功能强大的语言结构, 可以用简洁明确的代码描述来进行复杂控制逻辑的设计,而且覆盖面广,方法灵活。 ( 2)多种描述方式 $适应层次化设计。 VHDL 具有 多层次描述系统硬件功能的能力。 能进行系统级的硬件描述 !这是它最突出的优点。 ( 3) VHDL语言的数据类型丰富 !语法严格清晰,串行和并行通用,物理过程清楚。 ( 4) VHDL的设计不依赖于特定的器件,方便了工艺的转换。 ( 5) VHDL是一个标准语言,它的设计描述可以被不同的 EDA 工具所支持,可移植性强,易于共享和复用 11。 同时,与其他的硬件描述语言相比, VHDL 还具有以下特点: ( 1) VHDL具有更强的行为描述能力 &。强大的行为描述能力避开了具体的器件结构, 是在逻辑行为 上描述和设计大规模电子系统的重要保证。 VHDL 的宽范围描述能力使它成为高层次设计的核心,从而决定了它成为系统设计领域最佳的硬件描述语言,并可进行系统的早期仿真以保证设计的正确性。 ( 2) VHDL 丰富的仿真语句和库函数,使得在任何大系统的设计早期就能查验设计系统功能的可行性,随时可对设计进行仿真模拟。 ( 3) VHDL 语句的行为描述能力和程序结构,决定了它具有支持大规模设计的分解和已有设计的再利用功能。 ( 4) 对于用 VHDL 完成的一个确定的设计,可以利用 EDA 工具进行逻辑综合和优化 ,并自动地把 VHDL 描述设计转变成门级网表。 ( 5) VHDL对设计的描述具有相对独立性,设计者可以不懂硬件的结构, 也不必管理最终设计实现的目标器件是什么,而进行独立的设计。 ( 6) 用 VHDL 语言编写的源程序便于文档管理,用源代码描述来进行复杂控制逻辑的设计,既灵活方便,又便于设计结果的交流、保存和重用 13。 电子系统利用 VHDL 设计时,设计方法有系统行为级描述算法,寄存器传输级算法和结构级描述; VHDL 源代码是作为 EDA 综合工具的输入代码,因此有效的 VHDL 建模风格是控制综合 结果的最为有效的手段。要建立 VHDL 源代码,设计者必须了解 VHDL与综合结果的关系。 综合算法不同,对于同样的硬件描述,可能会得到不同的综合结果。 即使最后综合出的电路都能实现相同的逻辑功能,其电路的复杂程度和时延特性都会有很大的差别,甚至某些额外的电路还使得系统运行效率达不到要求。 nts扬州环境资源职业技术学院毕业设计(论文) - 8 - 第 3 章 交通灯系统 设计的方法 3.1 交通系统的发展趋势 交通系统未来的发展趋势就是要 提高通行能力 , 加强环境保护 , 开展智能化运输和环保专项技术的研究 ,并且要做到 以人为本 , 重点开展交通安全技术的研究 ,在这个过程中要 确定经济合 理的目标 , 促进新材料的广泛应用和开发 。 智能交通系统是将先进的信息技术、数据通讯传输技术、电子传感技术及计算机处理技术等有效的集成运用于整个地面交通管理系统而建立的一种在大范围内、全方位发挥作用的,实时、准确、高效的综合交通运输管理系统。 随着交通状况的日益恶化,西方发达国家普遍开展了关于智能交通系统共用信息平台的研究、建设。一方面,西方发达国家开展了许多关于部门间信息共享、以及公有私有关系的专项研究,从法规、政策、机制等方面对部门间信息共享给予了一定的保障;另一方面,西方发达国家的 ITS 建设已经发展到一 定程度,普遍开展了较高层次的交通信息服务。然而,在提供良好的交通信息服务的背后,必定要有功能强大、信息资源丰富的 ITS 共用信息平台作为支撑。 在我国,城市智能交通已逐步得到社会各界的广泛关注,并已成为交通领域的研究热点,社会各界对通过智能交通系统建设、缓解日益严重的交通问题寄予了厚望。 鉴于城市智能交通系统建设涉及到城市管理多个部门职能,因此,只有各相关部门协调配合、共同行动起来,在必要的机制和技术手段下充分实现部门间的信息共享,城市智能交通才可能顺利建设和发展。 为此,国家科技部提出了建设城市交通 ITS 共用信息平台的构想,在此基础上广泛开展关于交通综合信息的研究和应用。智能交通各界通过多年的研究和工程实践,普遍认为信息平台的建设应实现信息共享枢纽、综合交通信息服务、交通辅助决策、重大事件管理等功能目标。目前,已有的交通信息采集系统还处于各自分立的状态。要实现上述功能,还需面对诸多必须解决的问题。多学科综合集成 ITS共用信息平台。 ITS 共用信息平台的建设决不是一般的 IT 系统集成,为完整解决信息平台数据采集、数据处理整合以及信息发布的问题,必须同时考虑多方面技术的综合集成。同时,上述关键技术在平台中也不仅仅是堆砌或者简单组合,而是有机融合、互相渗透。 3.2 选择 VHDL硬件描述语言设计的优势 首先,简单地介绍一下什么是 VHDL 硬件描述语言。 VHDL 的英文全称是 VHSIC( Very High Speed Integrated Circuit) Hardware Description Language。是 EDA 设计中使用最多的语言之一, 它具有很强的电路描述和建模能力,能从多个层次对数字系统进行建模和描述,从而大大地简化了硬件设计任务,提高了设计效率和可靠性。 其次,这次设计选用 VHDL 硬件描述语言的优势就在于传统的用原理图设计电路的方法具有直观形象的优点,但如果所设计系统的规模比较大,或者设计软件不能提供设计者所需的库单元时,这种方法就显得很受限制了。而且用原理图表示的设计,通用性、可移植性也比较弱,所以在现代的设计中,越来越多地采用了基于硬件描述语言的设计方式。利用硬件描述语言来设计电路,使探测各种设计方案变成一件很容易的事,因为只需要 对描述语言进行修改,这比更改电路原理图要容易实现得多。 VHDL 语言能够成为标准化的硬件描述语言并获得广泛应用 , 它自身必然具有很多其他硬件描述语言所不具备的优点。归纳起来 ,VHDL 语言主要具有以下优点: (1) VHDL 语言功能强大 , 设计方式多样 nts扬州环境资源职业技术学院毕业设计(论文) - 9 - VHDL 语言具有强大的语言结构 , 只需采用简单明确的 VHDL 语言程序就可以描述十分复杂的硬件电路。同时 , 它还具有多层次的电路设计描述功能。此外 ,VHDL 语言能够同时支持同步电路、异步电路和随机电路的设计实现 , 这是其他硬件描述语言所 不能比拟的。 VHDL 语言设计方法灵活多样 , 既支持自顶向下的设计方式 , 也支持自底向上的设计方法 ; 既支持模块化设计方法 , 也支持层次化设计方法。 (2) VHDL 语言具有强大的硬件描述能力 VHDL 语言具有多层次的电路设计描述功能,既可描述系统级电路 , 也可以描述门级电路;描述方式既可以采用行为描述、寄存器传输描述或者结构描述,也可以采用三者的混合描述方式。同时, VHDL 语言也支持惯性延迟和传输延迟,这样可以准确地建立硬件电路的模型。 VHDL 语言的强大描述能力还体现在它具有丰富的数据 类型。 VHDL 语言既支持标准定义的数据类型,也支持用户定义的数据类型,这样便会给硬件描述带来较大的自由度。 (3) VHDL 语言具有很强的移植能力 VHDL 语言很强的移植能力主要体现在 : 对于同一个硬件电路的 VHDL 语言描述 , 它可以从一个模拟器移植到另一个模拟器上、从一个综合器移植到另一个综合器上或者从一个工作平台移植到另一个工作平台上去执行。 (4) VHDL 语言的设计描述与器件无关 采用 VHDL 语言描述硬件电路时 , 设计人员并不需要首先考虑选择进行设计的器件。这样做的 好处是可以使设计人员集中精力进行电路设计的优化 , 而不需要考虑其他的问题。当硬件电路的设计描述完成以后 ,VHDL 语言允许采用多种不同的器件结构来实现。 (5) VHDL 语言程序易于共享和复用 VHDL 语言采用基于库 ( library) 的设计方法。在设计过程中 , 设计人员可以建立各种可再次利用的模块 , 一个大规模的硬件电路的设计不可能从门级电路开始一步步地进行设计 , 而是一些模块的累加。这些模块可以预先设计或者使用以前设计中的存档模块 , 将这些模块存放在库中 , 就可以在以后的设计中 进行复用 13。 nts扬州环境资源职业技术学院毕业设计(论文) - 10 - 第 4 章 交通灯 系统的设计 4.1 设计任务 设计一个十字路口的交通灯控制系统,用实验平台上的 LED 发光二极管显示车辆通过的方向(东西和南北各一组),用数码管显示该方向的剩余时间。要求:工作顺序为东西方向红灯亮 45秒,前 40秒南北方向绿灯亮,后 5秒黄灯亮。然后南北方向红灯亮 45秒,前 40秒东西方向绿灯亮,后 5秒黄灯亮。依次重复。有紧急事件时允许将某方向一直开绿灯或者开红灯,另外允许特定情况两方向均为红灯,车辆禁行,比如十字路口恶性交通事 故时,东西,南北两个方向均有两位数码管适时显示该方向亮灯时间。 4.2题目分析与整体构思 (1)该交通灯控制器应具备的功能 设东西和南北方向的车流量大致相同,因此红、黄、绿灯的时长也相同,定为红灯 45sec,黄灯 5sec,绿灯 40sec,同时用数码管指示当前状态(红、黄、绿)剩余时间。另外,设计一个紧急状态,当紧急状态出现时,两个方向都禁止通行,指示红灯。紧急状态解除后,重新计数并指示时间。 (2) 实现方案 一 从题目中计数值与交通灯的亮灭的关系如图( 1)所示 4.3硬件电路设计 与仿真波形 ( 1)分频器 分频器实现的是将高频时钟信号转换成 低 频的时钟信号,用于触发控制器、计数器和扫描nts扬州环境资源职业技术学院毕业设计(论文) - 11 - 显示电路。该分频器实现的是一千分频,将一千赫兹的时钟信号分频成一赫兹的时钟信号。 ( 2)控制器设计 控制器的作用是根据计数器的计数值控制发光二极管的亮、灭,以及输出倒计时数值给七段数码管的分位译码电路。此外,当检测到特殊情况( HOLD= 1)发生时,无 条件点亮红灯的二极管。本控制器可以有两种设计方法,一种是利用时钟烟的下降沿读取前级计数 器的计数值,然后作出反应;另一种则是将本模块设计成纯组合逻辑电路,不需要时钟驱动。这两种方法各有所长,必须根据所用器件的特性进行选择:比如有些 FPGA有丰富的寄存器资源,而且可用与组合逻辑的资源则相对较少,那么使用第一种方法会比较节省资源;而有些 CPLD的组合逻辑资源则比较多,用第二种方法可能更好。 Clkin ClkoutFreDev ide rins tC loc kH oldC oun tN um 6. .0N um A 5. .0N um B 5. .0R edAGreen AY ellow AR edBGreen BY ellow Bc oun trollerins t1nts扬州环境资源职业技术学院毕业设计(论文) - 12 - ( 3)计数器设计 这里需要的计数器的计数范围为 0-90。计到 90 后,下一个时钟沿回复到 0,开始下一轮计数。此外,当检测到特殊情况( HOLD= 1) 发生是,计数器暂停计数,而系统复位信号 RESET则使计数器异步清零。 ( 4)分位译码电路设计 -1 因为控制器输出的到计时数值可能是 1位或者 2位十进制数,所以在七段数码管的译码电路前要加上分位电路(即将其分为 2个 1位的十进制数,如 25分为 2和 5, 7分为 0和 7)。 与控制器一样,分位电路同样可以由时钟驱动,也可以设计成纯组合逻辑电路。控制器中,引入了寄存器。为了让读者开拓眼界,分位电路就用组合逻辑电路实现。 c lo c kres etH oldc ountN um 6.0c ounterin s t2N um in 5. .0 N um A 3. .0N um B 3. .0F enw eiins t3nts扬州环境资源职业技术学院毕业设计(论文) - 13 - ( 5)分位译码 电路设计 2 ( 6)数码管驱动设计 串行连接,即每个数码管对应的引脚都接在一起(如每个数码管的 a 引脚都接到一起,然后再接到 CPLD/FPGA上的一个引脚上),通过控制公共端为高电平控制相应数码管的亮、灭(共阴极数码管的公共端为高电平时, LED不亮;共阳极的公共端为低电平时, LED不亮)。 串行法的优点在于消耗的系统资源少,占用的 I/O 口少, N 个数码管只需要( 7+N)个引脚(如果需要小数点,则是( 8+N)个引脚)。其缺点是控制起来不如并行法容易。 N um in 5. .0 N um C 3. .0N um D 3. .0F enw ei2ins t4c lkN um A 3. .0N um B 3. .0N um C 3. .0N um D 3. .0s ego ut 1 6. .0led_ s el 3. .0dt s mins t5nts扬州环境资源职业技术学院毕业设计(论文) - 14 - ( 7)下图为交通灯控制器的顶层文件连接图 4.4 程序设计 ( 1)分频器的设计 LIBRARY IEEE; USE IEEE.Std_Logic_1164.ALL; ENTITY FreDevider IS PORT (Clkin:IN Std_Logic; Clkout:OUT Std_Logic); END; ARCHITECTURE Devider OF FreDevider IS CONSTANT N:Integer:=499; signal counter:Integer range 0 to N; signal Clk:Std_Logic; BEGIN PROCESS(Clkin) V C Cc lk IN P U TV C Cre s et IN P U TV C Cho ld IN P U TR ED AO U T P U TGR EEN AO U T P U TY EL LO W AO U T P U TR ED BO U T P U TG R EE N BO U T P U TY EL LO W BO U T P U Ts eg ou t 1 6. . 0O U T P U Tle d _ s e l 3 . . 0 O U T P U TC lk in C lk ou tF re D ev id erin s tC lo c kH ol dC ou nt N um 6. . 0N um A 5. . 0N um B 5. . 0R ed AG re en AY el lo w AR ed BG re en BY el lo w Bc ou nt ro lle rin s t 1c loc kres etH oldc ount N um 6. . 0c ount erins t 2N um in 5. . 0 N um A 3. . 0N um B 3. . 0F en w eiin s t 3N um in 5. . 0 N um C 3. . 0N um D 3. . 0F en w ei 2in s t 4c lkN um A 3. . 0N um B 3. . 0N um C 3. . 0N um D 3. . 0s eg ou t 1 6. . 0le d_ s el 3. . 0dt s min s t 5nts扬州环境资源职业技术学院毕业设计(论文) - 15 - begin IF rising_edge(Clkin)THEN IF Counter=N then counter=40 THEN NumA=30 THEN NumA=20 THEN NumA=10 THEN NumA=40 THEN NumC=30 THEN NumC=20 THEN NumC=10 THEN NumCsegoutsegoutsegoutsegoutsegoutsegoutsegoutsegoutsegoutsegoutnull; END CASE; END PROCESS; nts扬州环境资源职业技术学院毕业设计(论文) - 20 - END; LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_unsigned.ALL; ENTITY dtsm IS PORT(clk:in STD_LOGIC; NumA,NumB,NumC,NumD: in STD_LOGIC_VECTOR(3 downto 0); segout1:out STD_LOGIC_VECTOR(6 downto 0); led_sel: out STD_LOGIC_VECTOR(3 downto 0); END dtsm; architecture bhv of dtsm is component bcd_data is port (bcd_data:in STD_LOGIC_VECTOR(3 downto 0); segout:out STD_LOGIC_VECTOR(6 downto 0); end component; signal x:STD_LOGIC_VECTOR(3 downto 0); signal q:STD_LOGIC_VECTOR(1 downto 0); begin p1:process(clk) begin if clkevent and clk =1 then Qled_selled_selled_selled_selnull; end case; end process; u1:bcd_data PORT map(bcd_data=x,segout=segout1); end nts扬州环境资源职业技术学院毕业设计(论文) - 21 - 第 5 章 总结 用单片机自动控制交通灯及时间显示的方法。同时给出了软硬件设计方法,设计过程包括硬件电路设计和程序设计两大步骤,对在单片机应用中可能遇到的重要设计问题都有涉足。本文对十字路口状态预设为三种,一种是正常状态,一种是紧急状态,另一种是方程式状态。增设路段遇忙调整时方程式控制状态和紧急情况处理模块,通过手动控制开关按钮 A0 和 A1方便系统在正常状态和紧急状态、方程式控制间来回切换,进一步完善了交 通灯控制系统。并分别用红、黄、绿灯的不同组合来指挥两个方向通车与禁行,用 LED 数码管作为倒计时指示,实时的控制当前交通灯时间使 LED 显示器进行倒计时工作并与状态灯保持同步,在保持交通安全的同时最大限度的提高交通能顺畅交替运行。本文介绍了控制基本原理以及控制的表现,同时,论述了系统中交通现状、交通管理、交通规则及背景信息。 本设计采用了 VHDL硬件描述语言文本输入方式,在确立总体预期实现功能的 前提下,分层次进行设计。实现了三种颜色交通信号灯的交替点亮,以及
- 温馨提示:
1: 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
2: 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
3.本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

人人文库网所有资源均是用户自行上传分享,仅供网友学习交流,未经上传用户书面授权,请勿作他用。