Modelsim中常用的Tcl命令.doc_第1页
Modelsim中常用的Tcl命令.doc_第2页
Modelsim中常用的Tcl命令.doc_第3页
Modelsim中常用的Tcl命令.doc_第4页
全文预览已结束

下载本文档

版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领

文档简介

Modelsim中常用的Tcl命令:一执行tcldo ./work/run.tcl二编译1.vlogvlog testbench.vvlog work work testbench.v三启动仿真1.vsimvsim work.testbenchvsim work work testbench四将信号加入波形add wave *view wave五执行仿真1.runrun 1000run all /run to finishrun /默认run 100ns六退出仿真quit -simTcl模板# ModelSim TCL # Created by Zhiwei.Liu # Create the Project/Lib #vlib work# map the library#vmap work work# Compile the verilog #vlog testbench.v# Start Simulation #vsim work.testbenchadd wave -binary clk rstadd wave -unsigned random c_countrun 990# Quit the Simulation # quit sim为方便工程管理,我建了三个文件夹来存放工程。sim:存放批处理文件,modelsim的do文件和存相对路径的文件core:存放源代码data:产生仿真的数据下面开始写批处理文件与do文件1:新建文件,改名为sim.bat在这个文件里输入代码:vsim -do sim.do2.新建文件,改名为path.f在这个文件中输入要仿真的源代码的相对路径: ./core/test.v ./core/tb.v2.新建sim.do文件,tcl脚本文件,用于控制modelsim仿真输入代码:带号为注释部分建立工作库并映射工作库 vlib work vmap work work #编译verilog源代码 vlog -f path.f编译systemc代码 vccom -f path.f #连接systemc代码 vccom -link #生成波形文件wlf vsim -wlf test.wlf tb添加信号波形 add wave *开始仿真 run 200 ms结束仿真 quit -sim操作流程:直接进入sim文件夹下运行sim.bat文件即可按照上述代码流程控制modelsim。下面就结合实例简要说明操作步骤:1、编写好源文件。包括camera.v和它的Testbench文件camera_tb.v2、编写.do文件(camera_tb.do),内容如下:# Create the work libraryvlib workvmap work work# Compile the verilog filesvlog -work work camera.vvlog -work work camera_tb.v# Run simulationv

温馨提示

  • 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
  • 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
  • 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
  • 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
  • 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
  • 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
  • 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

评论

0/150

提交评论