VHDL语言试卷(2006年B卷).doc_第1页
VHDL语言试卷(2006年B卷).doc_第2页
VHDL语言试卷(2006年B卷).doc_第3页
全文预览已结束

下载本文档

版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领

文档简介

湖南科技大学考试试题VHDL语言(B)试题 信息与电气工程学院院系 0404414 班级 学生人数 任课教师 宾心华 系主任 交题时间:2006 年 11 月 20 日一 简答题(20分):1 概述Bottom up 与 Top down 设计方法,并比较二者差异。(6分)2 VHDL语言的客体有哪几种?它们分别对应的物理含义是什么?(7分)3 VHDL语言映射方式一般有哪两种?试用二输入与门的PORT与PORT MAP语句举例说明。(7分)二 判断题(10*2分):1 数100在VHDL语言中既可以表示整数又可以表示实数。( )2 在进程中任意交换语句的顺序,其执行结果不变。( )3 WAIT FOR 语句后面要求接敏感信号量。( )4 在IF语句的条件表达式中只能使用关系运算操作及逻辑运算操作的组合表达式( )5 在使用进程时,敏感信号量必须跟在PROCESS()的括号中。( )6 WORK库是现行作业库。设计者所描述的VHDL语句不需要任何说明,将都存放在WORK库中。( )7 在端口方向的描述中,BUFFER定义的信号不能供构造体再使用,而OUT定义的信号则可以再供构造体使用。8 一条信号代入语句,不能用一个进程来描述。( )9 代入符号两边信号量只要求数据类型一致。( )10 VHDL语言中,逻辑运算左右有优先级别,且是从左至右运算。( )三读程序(写出每个程序的具体功能) (3*10分)1entity xyz isport(cin:in std_logic;a,b:in std_logic_vector(3 downto 0);s: out std_logic_vector(3 downto 0);cout:out std_logic);end xyz;architecture behav of xyz issingnal sint:std_logic_vector(4 downto 0);singnal aa,bb:std_logic_vector(4 downto 0);beginaa=0&a(3 downto 0);bb=0&b(3 downto 0);sint=aa+bb+cin;s(3 downto 0)=sint(3 downto 0);cout data_out data_out data_out data_out qqqqq=x;end case;end process;end rtl四 编程序(3*10分)1 编写一个83优先编码器的VHDL语言程序,构造体描述方式不限。2 编写一个RS触发器的程序。3 用VHDL语言设计一个六进制计数器,要求在时钟的下降沿触发,并采用异步复位方式进行复位。其信号定义为时钟clk,复位端clr(低电平有效),使能端en(高电平有效),计数器为count6:s

温馨提示

  • 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
  • 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
  • 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
  • 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
  • 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
  • 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
  • 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

评论

0/150

提交评论