




版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领
文档简介
1、基于VHDL的交通灯设计作者: 日期:探探探探探探探探级学生EDA课程设计EDA课程设计报告书课题名称基于VHDL的交通灯设计姓名学号院系专业指导教师年 月曰一. 设计任务及要求:设计任务:模拟十字路口交通信号灯的工作过程,利用实验板上的两组 红、黄、绿LED作为交通信号灯,设计一个交通信号灯控制器。设计要求:(1)交通灯从绿变红时,有4秒黄灯亮的间隔时间;(2)交通灯红变绿是直接进行的,没有间隔时间;(3)主干道上的绿灯时间为40秒,支干道的绿灯时间为20秒;(4)在任意时间,显示每个状态到该状态结束所需的时间。指导教师签名:年 月 日二、指导教师评语:指导教师签名:年月日三、成绩验收盖章年
2、月日基于VHDL的交通灯设计一、设计任务及要求:设计任务:模拟十字路口交通信号灯的工作过程,利用实验板上的两组 红、黄、绿LED作为交通信号灯,设计一个交通信号灯控制器。设计要求:(1)交通灯从绿变红时,有4秒黃灯亮的间隔时间;(2)交通灯红变绿是直接进行的,没有间隔时间;(3)主干道上的绿灯时间为40秒,支干道的绿灯时间为20秒;(4)在任意时间,显示每个状态到该状态结束所需的时间。OO主干道OOOOO O O图1路口交通管理示意图ABCD主干道交通灯绿(40秒)黄(4秒)红(20秒)红(4秒)支干道交通灯黄表1交通信号灯的4种状态二、设计原理:设计目的:学习DEA开发软件和Quartusl
3、l的使用方法,熟悉可编辑 器件的使用。通过制作来了解交通灯控制系统,交通灯系统主要是实现城市十字 交叉路口红绿灯的控制。设计说明:(1)第一模块:elk时钟秒脉冲发生电路在红绿灯交通信号系统中,大多数情况是通过自动控制的方式指交通的。因 此为了避免意外事件的发生,电路必须给一个稳定的时钟(clock)才能让系统 正常运作。模块说明:系统输入信号:elk:由外接信号发生器提供256的时钟信号;系统输出信号:full:产生每秒一个脉冲的信号;(2)第二模块:计数秒数选择电路计数电路最主要的功能就是记数负责显示倒数的计数值,对下一个模块提供 状态转换信号。模块说明:系统输入信号:full:接收由el
4、k电路的提供的lhz的时钟脉冲信号;系统输出信号:tm:产生显示电路状态转换信号;tl:倒计数值秒数个位变化控制信号;th:倒计数值秒数十位变化控制信号;(3)第三模块:红绿灯状态转换电路。本电路负责红绿灯的转换。模块说明:系统输入信号:full:接收由elk电路的提供的lhz的时钟脉冲信号;tm:接收计数秒数选择电路状态转换信号;comb_out:负责红绿灯的状态显示。(4)第四模块:时间显示电路。本电路负责红绿灯的讣数时间的显示。模块说明:系统输入信号:tl:倒计数值秒数个位变化控制信号;th:倒计数值秒数十位变化控制信号;系统输出信号:ledYsl:负责红绿灯的显示秒数个位。Ied7s2
5、:负责红绿灯的显示秒数十位。三、设计方案图2交通信号灯控制器的原理框图图3交通信号灯控制器程序原理框图该程序山7个进程组成,进程P1和P2将CLK信号分频后产生1秒信号,进 程P3、P4、P5构成两个带有预置数功能的十进制计数器,其中P4产生允许十位 计数器计数的控制信号。进程P6实现状态转换和产生状态转换的控制信号,进 程P7产生次态信号和信号灯输岀信号,以及每一个状态的时间值。四、程序清单library ieee;use ieee.stdogic_l 164.all;use ieee.stdjogic_unsigned.all;entity traffic isport (clk:in s
6、td_logic;led7sl:out std_Iogic_vector(6 downto 0);Ied7s2:out std_Iogic_vector(6 downto 0); comb_out:out std_logic_vector(5 downto 0); end;aichitecture one of traffic istype dm is (s0,sl.s2,s3);signal current_state,next_state:dm;signal full:std_logic;signal tl :std_logic_vector(6 downto 0);signal th:s
7、tdogic_vector( 1 downto 0);signal tm :std Jogic_vector(6 downto 0); signal time:std_logic_vector(6 downto 0);beginP_REG: process(clk)vaiiable cnt8:std_logic_vector(7 downto 0); beginif clkevent and clk=T thenifcnt8 = ,llllllir, thencnt8:=M011111Hn;fullv 二 T;else cnt8 := cnt8+l;full<= 'O'e
8、nd if;end if;end process P_REG;process(full)beginif full 'event and fuller thenIftime<"1000011" thentime<=time+l;else time <=H0000000M;end if;end if;end process;REG:process( full5current_state) beginif full二T and fulfevent then current_state<=next_state; end if;end process;
9、COM:process(current_state, time)begincase current_state iswhen s0=>comb_out<=H001100n;tm<=39-time;if time=39 then next_state<=s 1; else next_state<=sO;end if;when si =>comb_out<=H010100H;tm<=43-time;if time=43 then next_state<=s2;else next_state<=s 1;end if;when s2=>
10、conib_out<=H 100010H;tm<=63-time;if time=63 then next_state<=s3;else next_state<=s2;end if;when s3=>coinb_out<=,1100001 H;tm<=67-time;if tinie=67 then next_state<=sO;else next_state<=s3;end if;end case;end process;process(tm)beginif tm>=30 then th<=H 11 "tlv=tm-
11、30;elsif tm>=20 then th<=H 10H ;tl<=tm-20;elsif tm>=10 then th<=HO 1H10;else th<=M00M;tl<=tm;end if;end process;process(th,tl)begincase th iswhen H00M=>led7s 1 <=H0111111”;when n0r=>led7sl<=H0000110H;when H10H=>led7sl<=H10110HH;when HHH=>led7sl<=H1001111H
12、;when others=>null;end case;case tl iswhen n0000000f =>led7s2<=M011111 ln;when "0000001 n=>led7s2<="0000110"when "0000010”=>led7s2v=”1011011"when "0000011 n=>led7s2<=H 1001111"when "0000100"=>led7s2<=,l 100110"when "
13、;0000101 ,=>led7s2<=,1101101"when "0000110,'=>led7s2<=,' 1111101”;when ”0000111 H=>led7s2<=H0000 111”;when H0001000H=>led7s2<=nl 111111M;when "0001001 ,=>led7s2<=" 1101111"when others=>null;end case;end process;end;Value19. 13 ns口IkB
14、IEIS conb.B QOtlO: l<d7Sl3 ICOllj Lc.-le.B IB 03-lc.B 0-lc.B I-Le.B Ia-U.B Ia-Lc.B LH lei?s23 noiu:a-le.B t3B IB3-lc.8 00-Le.B I4.B I-Lc.B 1-Lc.B I>5.0 us31”U537 ? usJ350 §us57 p x>363 9us63 0 i10011 CO:X010103 X103010U XUIA003110£11山«1X1011 11H X1L)03110 MT0300110n_X. l-L hT
15、"T1-IIIl1r1ILi1111Simulation V;aueform£Master Tine Bit 19. 12S ns'25 0*1 us Interval: | ES C6 us Start:End:五、仿真结果iLn il_j i_nii ii il - ii jni_n Irri_rinnL_n irjLji_nrur_n_nn_n_n_f_nn_r_ni_rn_jun uuLni_ni_nui_r:H H i : : : L4J. : r : . : U : . : LJ : : :: : : KTJ1l_l L_nLJULJl_l l_T六、实验心得体会通过这次课程设计,我进一步加深了对电子设计自动化的了解。并进一步 熟练了对Quartusll软件的操作。在编写程序的过程中,遇到了很多问题,使我 发现自己以前学习上存在的不足。通过与同学探讨和请教老师,终于把问题都解 决了,并加深了对交通灯原理和设计思路的了解。同时也掌握了做课程设计的一 般流程,为以后的设计积累了一定的经验。做课程设计时,先查阅相关知识,把 原理吃透,确定一个大
温馨提示
- 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
- 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
- 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
- 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
- 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
- 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
- 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。
最新文档
- 2025年度智能家居橱柜定制安装服务合同协议书
- 说课课件教学
- 红酒收藏知识培训
- 2025年供用电合同范本模板
- 2025南京市存量房买卖版合同
- 红色小狗的课件
- 商业区域公共设施管理维护协议
- 农业科技研究与成果转化应用协议
- 诗经课件导语
- 红楼梦第8回课件赏析
- GB/T 3452.4-2020液压气动用O形橡胶密封圈第4部分:抗挤压环(挡环)
- GB/T 29245-2012信息安全技术政府部门信息安全管理基本要求
- GB/T 20863.1-2021起重机分级第1部分:总则
- GB/T 15171-1994软包装件密封性能试验方法
- 中药调剂技术-课件
- 水轮发电机讲义课件
- 姜黄素合成路线
- 安全教育:不私自离开幼儿园
- 泛光施工招标文件
- 刑法各论(第四版全书电子教案完整版ppt整套教学课件最全教学教程)
- 第7章:方差分析课件
评论
0/150
提交评论