第二章_逻辑代数基础_第1页
第二章_逻辑代数基础_第2页
第二章_逻辑代数基础_第3页
第二章_逻辑代数基础_第4页
第二章_逻辑代数基础_第5页
已阅读5页,还剩95页未读 继续免费阅读

下载本文档

版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领

文档简介

1、2.2 逻辑代数的公理、基本定理和规则逻辑代数的公理、基本定理和规则2.4 逻辑函数化简逻辑函数化简2.1 逻辑代数的基本概念逻辑代数的基本概念2.3 逻辑函数表达式的形式与变换逻辑函数表达式的形式与变换 逻辑代数是英国数学家乔治逻辑代数是英国数学家乔治.布尔(布尔(Geroge.Boole)于于1848年首先进行系统论述的,也称布尔代数。年首先进行系统论述的,也称布尔代数。 所研所研究的是两值变量的运算规律,即究的是两值变量的运算规律,即0,1表示两种不同的表示两种不同的逻辑状态。逻辑状态。2.1 逻辑代数的基本概念逻辑代数的基本概念 逻辑代数逻辑代数L是一个封装的代数系统,它由一个是一个封

2、装的代数系统,它由一个逻逻辑变量集辑变量集K,常量常量0和和1以及以及“或或”、“与与”、“非非”3种基本运算构成种基本运算构成 ,记为,记为L=K,+,0,1,0,1。 这里的逻辑这里的逻辑0 0和逻和逻辑辑1 1本身并没有数值意本身并没有数值意义,它们并不代表数量义,它们并不代表数量的大小,而仅仅是做为的大小,而仅仅是做为一种符号,代表事物矛一种符号,代表事物矛盾双方的两种状态。盾双方的两种状态。逻辑逻辑变量变量:也称为逻辑状态,也称为逻辑状态,一般用大写字母一般用大写字母A A,B B,C.C.表示,逻辑变量的取值只有两种,即逻辑表示,逻辑变量的取值只有两种,即逻辑0 0和逻辑和逻辑1

3、1。 0 0和和1 1称为称为逻辑常量逻辑常量。逻辑变量的取值仅可用来反逻辑变量的取值仅可用来反映单个开关元件的两种状态,映单个开关元件的两种状态,在一个复杂系统中各开关元在一个复杂系统中各开关元件之间有相互联系。件之间有相互联系。 逻辑逻辑函数函数:与普通代数中的函数相似,它是随自:与普通代数中的函数相似,它是随自变量的变化而变化的因变量变量的变化而变化的因变量。 特点特点: (1 1)逻辑变量和逻辑函数的取值只能有)逻辑变量和逻辑函数的取值只能有0 0和和1 1两两种取值;种取值; (2 2)函数和变量间的关系由基本的逻辑运算)函数和变量间的关系由基本的逻辑运算(与、或、非)决定。(与、或

4、、非)决定。 数字电路数字电路的输入、输出量一般用高、低电平表示,的输入、输出量一般用高、低电平表示,高、低电平也可以用逻辑高、低电平也可以用逻辑1 1和逻辑和逻辑0 0表示。同时,数字表示。同时,数字电路的输出和输入之间的关系是一种因果关系。因此电路的输出和输入之间的关系是一种因果关系。因此它可以用逻辑函数来描述,并称为它可以用逻辑函数来描述,并称为逻辑电路逻辑电路。 对于任何一个电路,若输入逻辑变量对于任何一个电路,若输入逻辑变量A A1 1,A,A2 2,A,An n的取值确定后,其输出逻辑变量的取值确定后,其输出逻辑变量F F的值也被唯一确定的值也被唯一确定了,则可以称了,则可以称F

5、F是是A A1 1,A,A2 2,A An n的逻辑函数,并记为的逻辑函数,并记为 F=f(F=f(A A1 1,A,A2 2,A,An n) ) 逻辑函数和普通代数中的函数一样存在逻辑函数和普通代数中的函数一样存在相等相等的问的问题。设两个逻辑函数:题。设两个逻辑函数:F F1 1=f=f1 1(A(A1 1,A,A2 2,A,An n) )F F2 2=f=f2 2(A(A1 1,A,A2 2,A,An n) ) 若对应逻辑变量若对应逻辑变量A A1 1,A,A2 2,A,An n的任何一组取值,的任何一组取值,F F1 1与与F F2 2的值都相等,则称函数的值都相等,则称函数F F1

6、1和和F F2 2相等,记为:相等,记为:F F1 1=F=F2 2。 判别两个逻辑函数相等,通常有两种判别方法:判别两个逻辑函数相等,通常有两种判别方法: (1 1)列出输入变量所有可能的取值组合,并按)列出输入变量所有可能的取值组合,并按逻辑运算法则计算出各输入取值下两个函数的响应值,逻辑运算法则计算出各输入取值下两个函数的响应值,然后进行比较;然后进行比较; (2 2)用逻辑代数的公理、定理和规则进行证明。)用逻辑代数的公理、定理和规则进行证明。 只有当两个开关同时闭合,指示灯才会亮只有当两个开关同时闭合,指示灯才会亮。 我们约定:把开关闭合作为条件满足,把指示灯亮作为结果发生。 只有只

7、有条件同时满足时,结果才才发生,这种因果关系叫做逻辑与与,或者叫逻辑乘。 可表示为 F=AB 或 F=AB。+-AFB灭亮(1 1)逻辑与)逻辑与( (逻辑乘逻辑乘) )与运算真值表:0 0 00 1 01 0 01 1 1 &YAB(a)中国标准数字系统中,实现与逻辑运算关系的逻辑电路称为与门。 YAB(b)国际流行(c)IEEE标准YAB与与门电路的三种逻辑符号若条件满足用1 1表示,不满足用0表示;事件发生用1表示,不发生用表示0。则可以列出逻辑关系的图表逻辑真值表。 只要只要条件之一满足时,结果就就发生,这种因果关系叫做逻辑或或。 可表示为 F=A+B 。 只要有任意一个开关闭

8、合,指示灯就亮(结果);灭亮+-AFB(2 2)逻辑或(逻辑加)逻辑或(逻辑加)决定某一结果的几个条件中,只要有一个或几个得到满足,决定某一结果的几个条件中,只要有一个或几个得到满足,这种结果就会发生。这种结果就会发生。或运算真值表:0 0 00 1 11 0 11 1 1(a)中国标准数字系统中,实现或逻辑运算关系的逻辑电路称为或门。 + YAB(b)国际流行(c)IEEE标准YAB或或门电路的三种逻辑符号YAB1若条件满足用1 1表示,不满足用0表示;事件发生用1表示,不发生用表示0。 开关闭合时,指示灯不亮,而开开关闭合时,指示灯不亮,而开关断开时,指示灯亮关断开时,指示灯亮。 只要条件

9、满足,结果就不发生;而只要条件满足,结果就不发生;而条件不满足,结果一定发生。这种因果条件不满足,结果一定发生。这种因果关系叫做逻辑非,或者叫逻辑反关系叫做逻辑非,或者叫逻辑反。 可表示为 F=A+ +- -AYR亮灭(3 3)逻辑非)逻辑非非运算真值表:(a)中国标准数字系统中,实现非逻辑运算关系的逻辑电路称为非门。(b)国际流行(c)IEEE标准非非门电路的三种逻辑符号0 1 1 0 YA1YAYA若条件满足用1 1表示,不满足用0表示;事件发生用1表示,不发生用表示0。与与Y=AB Y=AB或或Y=A+B非非AY &YAB11ABYYA1高电位代表逻辑状态高电位代表逻辑状态1,低

10、电位代表逻辑状态,低电位代表逻辑状态0称为称为正逻辑正逻辑。高电位代表逻辑状态高电位代表逻辑状态0,低电位代表逻辑状态,低电位代表逻辑状态1称为称为负逻辑负逻辑。正逻辑(正逻辑(a)电平表示(电平表示(b)负逻辑(负逻辑(c)ABFABFABF000LLL111010LHL101100HLL011111HHH000正、负逻辑对照表 数字数字逻辑电路中,通常定义两个确定的不同的电位来描述逻辑电路中,通常定义两个确定的不同的电位来描述两个逻辑状态,这两个不同范围的电位称为逻辑电平,其中电两个逻辑状态,这两个不同范围的电位称为逻辑电平,其中电位较低的称为逻辑低位较低的称为逻辑低(L)(L)电平,电位

11、相对较高的称为逻辑高电平,电位相对较高的称为逻辑高(H)(H)电平。电平。 同一电路,正逻辑下的与门,即正与门,在负逻辑下是或同一电路,正逻辑下的与门,即正与门,在负逻辑下是或门,即负或门。下面是几中逻辑门电路的等效转换。门,即负或门。下面是几中逻辑门电路的等效转换。正正“与与”负负“或或”正正“或或”负负“与与”正正“与非与非”负负“或非或非”正正“或非或非”负负“与非与非”正负逻辑变换规则正负逻辑变换规则:(1)与变或,或变与;与变或,或变与;(2)输入端和输出端有输入端和输出端有小圈的去掉,没小圈的小圈的去掉,没小圈的补上;补上;(3)小圈表示变量取反;小圈表示变量取反; 实际的逻辑问题

12、往往比与、或、非复杂的多,不过它们都实际的逻辑问题往往比与、或、非复杂的多,不过它们都可以用与、或、非的组合来实现。最常见的复合逻辑运算有与可以用与、或、非的组合来实现。最常见的复合逻辑运算有与非、或非、与或非、异或、同或等。非、或非、与或非、异或、同或等。0 0 1与非与非或非或非异或异或同或同或0 1 11 0 11 1 0只有输入都是1时,输出才是00 0 10 1 01 0 01 1 00 0 00 1 11 0 11 1 00 0 10 1 01 0 01 1 1只要输入有一个为0,输出就是1只有输入都是0时,输出才是1输入不同时,输出为1输入不同时,输出为0只要输入有一个为1时,输

13、出就是0输入相同时,输出为0输入相同时,输出为1ABY BAYBABABAYABBAYA B&1=1=1与或非与或非DABYC&=11=1与或非真值表 只有A、B或C、D同时为1时,输出才是0与或非表达式: 与或非门 逻辑符号: 与非门 或非门 异或门 同或门 &1异或异或函数的函数的几个公式:几个公式:0) 1 ( AA1)2( AAAA1)3(AA0)4(ABBA)5(CBACBA)()()6()()()()7(CABACBA 逻辑函数的三种常用表示方式:逻辑函数的三种常用表示方式:真值表真值表、逻辑表达式逻辑表达式、卡诺图卡诺图。 逻辑表达式逻辑表达式由逻辑变量、

14、常量和由逻辑变量、常量和与与、或或、非非三三种运算符所构成的式子。种运算符所构成的式子。 例:例:BABAF书写逻辑表达式的规则:书写逻辑表达式的规则:(1)(1)非非 运算可不加括号;运算可不加括号;(2)(2)与与 运算符常可省略;运算符常可省略;(3)(3)如果有括号,则按先如果有括号,则按先 非非 后后 与与 再再 或或 的规则省去括号。的规则省去括号。 描述逻辑函数各个变量取值组合和函数值对应关系的表格描述逻辑函数各个变量取值组合和函数值对应关系的表格叫叫真值表。真值表。 每一个输入变量有每一个输入变量有0、1两个两个取值取值,n个输入变量有个输入变量有2n个不个不同的取值组合。即,

15、真值表是一种由逻辑变量的所有可能取值同的取值组合。即,真值表是一种由逻辑变量的所有可能取值组合及其对应的逻辑函数值所构成的表格。组合及其对应的逻辑函数值所构成的表格。的的真值表真值表BABAF 卡诺图卡诺图是由表示逻辑变量的所有可能组合的小方格所构成是由表示逻辑变量的所有可能组合的小方格所构成的平面图的平面图。BAB AF的卡诺图1001 A B2.2 逻辑代数的逻辑代数的公理、公理、基本基本定理和规则定理和规则1、公理公理公理公理1 1 交交换律换律ABBAABBA公理公理2 2 结合律结合律公理公理3 3 分配律分配律公理公理4 0-14 0-1律律公理公理5 5 互补律互补律)()()(

16、)(CBACBACBACBA)()()(CABACBACABACBAAAAA100011AA0 1AAAA2、基本定理基本定理定理定理1 1 111 001 010 000111 101 110 00010 01定理定理2 2 ( (同一律同一律) ) 定理定理3 3 ( (吸收律吸收律1) 1) ABAAABAA)(定理定理4 4 ( (吸收律吸收律2) 2) BABAABABAA)(定理定理5 5 ( (还原律还原律) ) AA定理定理6 6 ( (德德. .摩根定理摩根定理) ) BABABABA定理定理7 7 ( (吸收律吸收律3) 3) ABABAABABA)()(定理定理8 8 C

17、ABACBCABA)()()()()(CABACBCABA( (冗余律冗余律) ) AAAAAA证明:CB)A(ACABACBCABACBACBACABA CABA公式可推广为:若两个乘积项分别含有同一因子的原变量和反变量(如上式中的A和A ),而这两项的其它因子又都是第三个乘积项的因子,则第三个乘积项是多余的。 CABADCBCABA例: A+ =1A吸收(1)(1)代代入规则入规则 任何任何逻辑逻辑等式等式中中,如果等式中所有出现,如果等式中所有出现某一某一变量的位变量的位置均代之以一个逻辑函数式,则等式依然成立置均代之以一个逻辑函数式,则等式依然成立。例:例: A B= A+B利用反演律

18、利用反演律BCBC替代替代B B得得ABCBCACBA由此德由此德. .摩根定理能推广到摩根定理能推广到n n个变量:个变量:n 21n 21n 21n 21AAAAAAAAAA A A3、重要规则重要规则YDCBAY)DC(B)(AYCBAY)C(BAYCB(2 2)反演反演规则规则例:又例:如Y是一个与或与或式(先与与运算再或或运算),而 则变成了或与或与式。看作一个整体(或说成一个变量) 将Y中的对于任意一个逻辑函数式对于任意一个逻辑函数式F F,做如下处理:,做如下处理: 若把式中的运算符若把式中的运算符“. .”换成换成“+ +”, , “+ +” 换成换成“. .”; ; 常量常量

19、“0 0”换成换成“1 1”,“1 1”换成换成“0 0”; 原原变量换成变量换成反反变量,变量,反反变量换成变量换成原原变量变量那么得到的那么得到的新函数式新函数式称为原函数式称为原函数式F F的的反函数式反函数式。注:注: 不能破坏原函数的运算顺序不能破坏原函数的运算顺序-先算括号里的,然后按先算括号里的,然后按“先先与后或与后或”的原则运算;的原则运算; 不属于单个变量上的非号有两种处理方法不属于单个变量上的非号有两种处理方法 非号保留,而非号下面的函数式按反演规则变换非号保留,而非号下面的函数式按反演规则变换 将非号去掉,而非号下的函数式保留不变将非号去掉,而非号下的函数式保留不变例:

20、例:F(AF(A、B B、C)C)CBAB )C A(BA 其反函数为其反函数为)CBA(BCA)BA(F或或)CBA(B)CA()BA( CBA B)CA()BA(FF(AF(A、B B、C)C)ACDCAB 例例1:F(AF(A、B B、C)C)DDCBA 例例2:F(AF(A、B B、C)C)CBAE)D(CBA例例3:(3 3)对偶对偶规则规则 将一个等式两边的“ ”换成“+”,“+”换成“ ”,保持变量不变,得到一个新的等式,这两个等式互为对偶式,这就是对偶定理。 C)(BAZCBAZ)(CBAZ)(CBAZCBAZCBAZ)0(CABAZ) 1()(CABAZ 如果Z的对偶式是Z,

21、那么Z 的对偶式就是Z,Z和Z互为对偶式。例:C)(AB)(ACBACABAC)(BA我们观察基本公式会发现公式1和公式2它们都互为对偶式。 互为对偶式互为对偶式 如果两个表达式相等,那么它的对偶式也一定相等。 任何逻辑函数式都存在着对偶式任何逻辑函数式都存在着对偶式。 若原等式成立,则对偶若原等式成立,则对偶式也一定成立。式也一定成立。 即如果即如果F=G,则,则F=G 。 这种逻辑推理叫做对这种逻辑推理叫做对偶原理或对偶规则。偶原理或对偶规则。 必须注意,必须注意,由原式求对偶式时,运算的优先顺序不能改变,由原式求对偶式时,运算的优先顺序不能改变,且式中的非号也保持不变。且式中的非号也保持

22、不变。 前面的逻辑代数基本定律和公式,都是成对出现,而且都是前面的逻辑代数基本定律和公式,都是成对出现,而且都是互为对偶的对偶式。互为对偶的对偶式。一、逻辑函数一、逻辑函数逻辑函数:如果对应于输入逻辑变量A、B、C、的每一组确定值,输出逻辑变量Y就有唯一确定的值,则称Y是A、B、C、的逻辑函数。记为,.),(CBAfY :与普通代数不同的是,在逻辑代数中,不管是变量还是函数,其取值都只能是0或1,并且这里的0和1只表示两种不同的状态,没有数量的含义。 任何一个逻辑函数式都可以通过逻辑变换写成以下五种形式: CBBACBBACBBACBBACBBAF)(与-或式 或-与式 与非-与非式 或非-或

23、非式 与-或-非式 1、逻辑函数的基本形式逻辑函数的基本形式逻辑函数的基本形式有两种:逻辑函数的基本形式有两种: 与与- -或或 表达式表达式和和 或或- -与与 表达式表达式。“与与- -或或”表达式表达式也叫也叫“积之和积之和”表达式,即一个函数中表达式,即一个函数中包含包含着着若干个若干个 积积 项,每个项,每个 积积 项中有一个或多个以原变量或反变量项中有一个或多个以原变量或反变量形式出现,所以这些形式出现,所以这些 积积 项的项的 和和 就表示一个函数。如:就表示一个函数。如: CBAABBF“或或- -与与”表达式表达式也叫也叫“和之积和之积”表达式,即一个函数中表达式,即一个函数

24、中包含包含着着若干个若干个 和和 项,每个项,每个 和和 项中有一个或多个以原变量或反变量项中有一个或多个以原变量或反变量形式出现,所以这些形式出现,所以这些 和和 项的项的 积积 就表示一个函数。如:就表示一个函数。如: )()(FDBACBBACD)BA)(D(ABF“与与- -或或”? “或或- -与与”?2、逻辑函数的标准形式逻辑函数的标准形式 逻辑函数的标准形式是标准逻辑函数的标准形式是标准“积之和积之和”表达式表达式和标准和标准“和和之积之积”表达式表达式,即最小项之,即最小项之和和表达式和最大项之积表达式。表达式和最大项之积表达式。(1 1)最小项和最小项之和表达式)最小项和最小

25、项之和表达式 最小项:最小项:在在n n个变量逻辑函数中,若个变量逻辑函数中,若m m为包含为包含n n个因子的乘积个因子的乘积项,而且这项,而且这n n个变量均以原变量或反变量的形式在个变量均以原变量或反变量的形式在m m中出现,且中出现,且仅出现一次,则称仅出现一次,则称m m为该组变量的最小项。为该组变量的最小项。例:例:A A、B B、C C三个变量的最小项有:三个变量的最小项有: 、 、 、三变量逻辑函数的最小项有三变量逻辑函数的最小项有8 8个(个(2 23 3),四变量逻辑函数的最),四变量逻辑函数的最小项有小项有1616个(个(2 24 4),),。n n变量逻辑函数的最小项有

26、变量逻辑函数的最小项有2 2n n个个 。 CBACBACBAABC 使用使用m mi i表示最小项。表示最小项。 对于每一个最小项,对于每一个最小项,原变量用原变量用1 1表示,反变量用表示,反变量用0 0表示表示,当,当变量的顺序一定后,变量的顺序一定后,1 1和和0 0按顺序成一个二进制数,则与该二进按顺序成一个二进制数,则与该二进制数对应的十进制数就是该最小项的下标制数对应的十进制数就是该最小项的下标i i。 例:例:ABC ABC 的的A,B,CA,B,C取值为取值为110110,对应的下标,对应的下标i i为为6 6,记为,记为m m6 6。 输入变量的每一组取值都使一个且仅有一个

27、对应的最小项输入变量的每一组取值都使一个且仅有一个对应的最小项的值等于的值等于1.1. 例:三变量例:三变量A,B,CA,B,C的最小项中,当的最小项中,当A=1A=1,B=1B=1,C=0C=0时,时,ABC=1.ABC=1.若两个最小项仅有一个因子不同,则称这两个最小项具有相邻性。例: 和 ,这两个最小项相加时能合并,并可消去1个因子。CBACBACBABCACBACBACABABCCBACABCBAACBCABCBA)(类似的,我们把A、B、C、D这4个变量的16个最小项记作m0 0m15 15 。例如:7632),(mmmmABCCABBCACBACBAF)7 , 6 , 3 , 2(

28、),(7632mmmmmABCCABBCACBACBAF符号“ ”表示各项求和,后面括号内的数字表示函数的各最小项。等式左边括号内的字母列出所有变量和它的排列顺序。变量的顺序很重要,一旦确定,不能任意改变,否则会造成表示式错误。最小项性质最小项性质: 在输入变量的任何一取值下必有一个最小项,而且仅有在输入变量的任何一取值下必有一个最小项,而且仅有一个最小项的值为一个最小项的值为1 1。 任意两个最小项的乘积为任意两个最小项的乘积为0 0。 全体最小项之和为全体最小项之和为1 1。 n n个变量的任一最小项,有个变量的任一最小项,有n n个相邻项。个相邻项。 n n个变量函数有个变量函数有2 2

29、n n个最小项。个最小项。 具有相邻性的两个最小项之和可以合并为一项并消去一具有相邻性的两个最小项之和可以合并为一项并消去一个因子。个因子。 注意:当提及最小项时,必须说明变量的数目及顺序,否则,最小项没有任何意义。 逻辑函数的最小项之和形式逻辑函数的最小项之和形式: 利用基本公式 可把任一逻辑函数式展开为最小项之和的形式。这种形式在逻辑函数的图形化简法中以及计算机辅助分析和设计中得到广泛应用。例1:例2:1 AABCCABY)7 , 6 , 3()(mBCAABCCABBCAACABYCDBABCDADCBABBACBBCDADCBAY)()(ACCDADCBAYABCDCDBABCDADC

30、BADDCBADDABC)()()15,14,11,10, 9 , 7 , 3(mDCBACDBADABC【例例2-2】 将函数表达式 表示成“最小项之和”的形式。CBBAYF(A,B,C)=F(A,B,C)=m(2,4,5,6) 一个逻辑函数的真值表与它的最小项表达式具有一一对应的关系。假定在函数F的真值表中有K组变量取值使F的值为1,其它变量取值下的F值为0,那么,函数F的最小项表达式由这K组标量取值对应的K个最小项组成。因此,当要求一个函数的最小项表达式时,我们还可以通过先列出该函数的真值表,然后根据真值表写出最小项表达式。(2 2)最大项和最大项之积表达式)最大项和最大项之积表达式 最

31、大项:最大项:在在n n个变量逻辑函数中,若个变量逻辑函数中,若M M为为n n个变量之和,而且个变量之和,而且这这n n个变量均以原变量或反变量的形式在个变量均以原变量或反变量的形式在M M中出现,且仅出现一中出现,且仅出现一次,则称次,则称M M为该组变量的最大项。为该组变量的最大项。例:例:A A、B B、C C三个变量的最三个变量的最大大项有:项有: 、 、 、 、 、 、共、共8 8个最个最大项。大项。 三变量逻辑函数的最三变量逻辑函数的最大大项有项有8 8个(个(2 23 3),四变量逻辑函数),四变量逻辑函数的最的最大大项有项有1616个(个(2 24 4),),. n. n变量

32、逻辑函数的最变量逻辑函数的最大大项有项有2 2n n个个 。 CBACBACBACBACBACBA 输入变量的每一组取值都使一个且仅有一个对应的最大项输入变量的每一组取值都使一个且仅有一个对应的最大项的值等于的值等于0.0. 例:三变量例:三变量A,B,CA,B,C的最大项中,当的最大项中,当A=1A=1,B=1B=1,C=0C=0时,时,A+B+C=0.A+B+C=0. 使用使用M Mi i表示最大项。表示最大项。 对于每一个最大项,对于每一个最大项,原变量用原变量用0 0表示,反变量用表示,反变量用1 1表示表示,当,当变量的顺序一定后,变量的顺序一定后,1 1和和0 0按顺序成一个二进制

33、数,则与该二进按顺序成一个二进制数,则与该二进制数对应的十进制数就是该最大项的下标制数对应的十进制数就是该最大项的下标i i。 例:例:A+B+C A+B+C 的的A,B,CA,B,C取值为取值为001001,对应的下标,对应的下标i i为为1 1,记为,记为M M1 1。CBACBACBACBACBACBACBACBA三变量函数的最大项三变量函数的最大项类似的,我们把A、B、C、D这4个变量的16个最大项记作M0 0M15 15 。例如:410)()(),(MMMCBACBACBACBAF符号“ ”表示各项相与,后面括号内的数字表示函数的各最大项。等式左边括号内的字母列出所有变量和它的排列顺

34、序。变量的顺序很重要,一旦确定,不能任意改变,否则会造成表达式错误。)4 , 1 , 0()()(),(410MMMMCBACBACBACBAF最最大大项项的的性质性质: 在输入变量的任何一取值下必有一个最在输入变量的任何一取值下必有一个最大大项,而且仅有项,而且仅有一个最大项的值为一个最大项的值为0 0。 任意两个最任意两个最大大项项之和之和为为1 1。 全体最全体最大大项之项之积积为为0 0。 n n个变量的任一最大项,有个变量的任一最大项,有n n个相邻项。个相邻项。 n n个变量函数有个变量函数有2 2n n个最大项。个最大项。 具有相邻性的两个最具有相邻性的两个最大大项之项之积积可以

35、合并为一项并消去一可以合并为一项并消去一对对因子。因子。CBACBA 和和 两个最大项仅第一个因子不同,两个最大项仅第一个因子不同,所以它们具有相邻性,故:所以它们具有相邻性,故:CBCBACBA)( 另外,最大项和最小项:另外,最大项和最小项:iimM 注意:只有在变量数目和变量顺序相同的前提下,下标编号注意:只有在变量数目和变量顺序相同的前提下,下标编号相同的最大项和最小项之间才有相同的最大项和最小项之间才有 的关系。的关系。iimMBCAm 333MCBABCAm 例:例: 如果已知函数最小项表达式,则由未出现在该表达式中的如果已知函数最小项表达式,则由未出现在该表达式中的各标号组成的最

36、大项之积即为该函数的最大项表达式。各标号组成的最大项之积即为该函数的最大项表达式。 如果已知函数最小项表达式,由相同标号组成的最大项表如果已知函数最小项表达式,由相同标号组成的最大项表达式为该函数的反函数。达式为该函数的反函数。imFikkmFikkmFikkmikkM 任何一个逻辑函数表达式都可以化为最小项之和表达式,任何一个逻辑函数表达式都可以化为最小项之和表达式,且全部最小项之和为且全部最小项之和为1.1.【例例2-3】 将函数表达式 表示成“最大项之积”的形式。CDAABFCDAABF 已知已知 的最小项之和形式为:的最小项之和形式为:F(A,B,C)=F(A,B,C)=m(3,7,1

37、2,13,14,15)CDAABF 则则 的最大项之积形式为:的最大项之积形式为:)11,10, 9 , 8 , 6 , 5 , 4 , 2 , 1 , 0(),(MDCBAF 一个逻辑函数的真值表与它的最大项表达式也具有一一对一个逻辑函数的真值表与它的最大项表达式也具有一一对应的关系应的关系。假定在函数。假定在函数F F的真值表中有的真值表中有K K组变量取值使组变量取值使F F的值为的值为0 0,其他变量取值下其他变量取值下F F的值为的值为1 1,那么,函数,那么,函数F F的最大项表达式由这的最大项表达式由这K K组标量取值对应的组标量取值对应的K K个最大项组成。因此,当要求一个函数

38、的个最大项组成。因此,当要求一个函数的最大项表达式时,我们还可以通过先列出该函数的真值表,然最大项表达式时,我们还可以通过先列出该函数的真值表,然后根据真值表写出最大项表达式。后根据真值表写出最大项表达式。【例例2-4】 将函数表达式 表示成“最大项之积”的形式。CBACAF)7 , 6 , 5 , 2 , 0(),(MDCBAF 同一个逻辑函数可以有多种表示方式。一种形式同一个逻辑函数可以有多种表示方式。一种形式的函数表达式对应于一种逻辑电路,逻辑功能相同。的函数表达式对应于一种逻辑电路,逻辑功能相同。 函数表达式有简有繁,逻辑式越简单,它所表示函数表达式有简有繁,逻辑式越简单,它所表示的逻

39、辑关系越明显,同时也有利于用最少的电子器件的逻辑关系越明显,同时也有利于用最少的电子器件来实现。来实现。 逻辑函数化简常用的逻辑函数化简常用的两种两种方法:公式法、卡方法:公式法、卡诺图法诺图法。一个最简一个最简“与与- -或或”表达式应同时满足两个条件:表达式应同时满足两个条件:(1 1)该式中的)该式中的“与与”项最少。项最少。(2 2)在前提()在前提(1 1)下,该式中每个与项的变量也)下,该式中每个与项的变量也最少。最少。1、代数化简法代数化简法 代数化简法,就是运用逻辑代数的基本公式、定理和规代数化简法,就是运用逻辑代数的基本公式、定理和规则来化简逻辑函数的一种方法。则来化简逻辑函

40、数的一种方法。 意义意义 表达式越简单逻辑图就越简单,对应的实际电路也就越简单、经 济、可靠。 最简与或式的定义:乘积项最少、乘积项中的因子也最少。 介绍最简与或式的目的有两个:一是容易判断是否最简,二是化简的工具(就是基本公式和定理)方便。 基本思想基本思想 表对逻辑函数进行等式变换、使表达式的与项减少,或使与项中的变量减少,从而达到简化函数的目的。1.合并法合并法利用公式: 例: ABCABABCABAABAB是公共因子两个乘积项分别含有同一因子的原变量和反变量,而其它因子都相同公共因子,可以合并成一项,留下公共因子2.吸收法吸收法利用公式: AABACDBABA例: 两个乘积项相加,如果

41、一项是另一项的因子,则另一项是多余的BA3.消项法消项法利用公式 例: BCDECAABCAABBCCAAB4.消因子法消因子法BABAACBCAAB例: CABABCAB两个乘积项分别含有同一因子A的原变量和反变量,而这两项的其它因子又都是第三个乘积项的因子,则第三个乘积项是多余的两个乘积项相加,如两个乘积项相加,如果一项的反是另一项果一项的反是另一项的因子,则另一项中的因子,则另一项中的这个因子是多余的的这个因子是多余的摩根定理CAAB)CBA(AB提取C消去因子5.配项法配项法AA 1CBCAAB例: BCAABCCAABCAAB BC)AA(CAAB1AABC)ACA(ABC)AB(A

42、AABCACBACABABC例: BC)A(ABCC)BA(ABC)CABABC(BCACAB【例例2-5】 化简DEFGEFBCEFABDCAABDAADFDEFGEFBCEFABDCAABDAADFDEFGEFBCEFABDCAABADEFGEFBBDCAADEFGEFBBDCAEFBBDCA【例例2-6】 化简BACBCBBAFBACBCBBAF)()(CCBACBAACBBA)()()(BCACBACBACBCBABACACBBA【例例2-7】 化简)()()()(GEAGCECGADBDBF利用对偶规则,得:AEGGCCEDAGBDBFGCCEDB再次利用对偶规则,得:)()() (

43、GCECDBFF “ “与与- -或或”表达式的化简是基础,其他形式的表达式都可表达式的化简是基础,其他形式的表达式都可先变换为先变换为“与与- -或或”表达式进行化简,然后再变换成所需的形表达式进行化简,然后再变换成所需的形式。式。吸收化简较复杂的函数时,往往需要灵活地、交替地综合运用上述方法,才能得到最简的结果。例: 解:注意用公式化简斜体部分。DEBADBCA)CA(BDCDBCBACYDEBA)CA(BDBCBACYDBCADCDEBAADCDBAC)C(BCBDEBAADCDBACCBCBDEBAAACDCDBCBADCDBCBADBCB用公式化简函数,没有固定的步骤,比较灵活,有一

44、定的技巧。消去因子摩根定理吸收两个乘积项分别含有同一因子B的原变量和反变量,而这两项的其它因子又都是第三个乘积项的因子,则第三个乘积项是多余的(1)卡诺图的构成)卡诺图的构成2、卡诺图化简法卡诺图化简法 卡诺图卡诺图是由是由2n个小个小方方格构成的正方形或长方形的格构成的正方形或长方形的图形,图形,其中其中n表示变量的个数,每个小方格都对应一个最小项,并表示变量的个数,每个小方格都对应一个最小项,并且在逻辑上相邻的最小项,在几何位置上也相邻地排列。且在逻辑上相邻的最小项,在几何位置上也相邻地排列。 相邻性相邻性是指几何相邻的两个最小项只有一个变量互为反是指几何相邻的两个最小项只有一个变量互为反

45、变量,其余变量都具有相同的特性。变量,其余变量都具有相同的特性。 二变量的卡诺图 AB最小项的几何相邻性和逻辑相邻性是一致的。三变量的卡诺图 ABC除了几何位置(上下左右)相邻的最小项逻辑相邻以外,一行或一列的两端也有相邻性 。四变量的卡诺图 ABCD图形左侧和上侧的数字,表示对应最小项变量的取值 CD=11AB=10要熟记这些数字和最小项的排列次序ABCD=1011对应的最小项是 m11=CDBA卡诺图卡诺图在构造上具有以下特点:在构造上具有以下特点:(1) n(1) n个变量上卡诺图由个变量上卡诺图由2 2n n个小方格组成,每个小方格代表个小方格组成,每个小方格代表一个最小项;一个最小项

46、;(2)(2)卡诺图上变量的排列不是按自然二进制顺序排列的,而卡诺图上变量的排列不是按自然二进制顺序排列的,而是按循环码排列的,以保证每个最小项与它全部相邻项在几是按循环码排列的,以保证每个最小项与它全部相邻项在几何位置上相邻;何位置上相邻;(3)(3)卡诺图处在相邻、相对、相重位置上的小方格所代表的卡诺图处在相邻、相对、相重位置上的小方格所代表的最小项为相邻最小项。最小项为相邻最小项。(2)卡诺图表示逻辑函数)卡诺图表示逻辑函数 任何一个逻辑函数都可以表示成最小项表达式的形式,所以可用卡诺图表示逻辑函数。 首先把逻辑函数转换成最小项之和的形式,然后在卡诺图上与这些最小项对应的位置上填1,其余

47、填0(也可以不填),就得到了表示这个逻辑函数的卡诺图。 例:填写三变量逻辑函数Y(A、B、C)=m(3,5,6,7)的卡诺图ABC1解:Y有4个最小项m3、m5、m6、m7,就在三变量卡诺图的相应位置填1,其它位置填0 (也可以不填)。 111【例例2-8】 试用卡诺图表示函数试用卡诺图表示函数F(A,B,C,D)=F(A,B,C,D)=m(0,3,5,7,11,14)CDAB【例例2-9】 试用卡诺图表示函数试用卡诺图表示函数)7 , 6 , 4(mCBACABABCF将函数变换成最小项表达式:将函数变换成最小项表达式:CAABFABC【例例2-10】 试用卡诺图表示函数试用卡诺图表示函数A

48、CBFABC 根据卡诺图的构成原理,变量根据卡诺图的构成原理,变量B B对应着卡诺图上对应着卡诺图上B=1B=1的的那些小方格,共有那些小方格,共有4 4个小方格;个小方格; 变量变量ACAC对应着卡诺图上对应着卡诺图上A=1A=1且且C=1C=1的那些小方格,共有的那些小方格,共有2 2个小方格,其中一个小方格饮个小方格,其中一个小方格饮食在食在B=1B=1的范围内。的范围内。B=1AC=1(3)用卡诺图化简逻辑函数)用卡诺图化简逻辑函数 卡诺图化简逻辑函数的原理:把卡诺图上表征相邻最卡诺图化简逻辑函数的原理:把卡诺图上表征相邻最小项的相邻小方格小项的相邻小方格 圈圈 在一起进行合并,达到用

49、一个简单在一起进行合并,达到用一个简单 与与 项代替若干最小项的目的项代替若干最小项的目的。 把用来包围那些能由一个简单把用来包围那些能由一个简单 与与 项代替的若干最小项代替的若干最小项的项的 圈圈 称为卡诺圈。称为卡诺圈。 一个函数的最小项表达式与其卡诺图是一一对应的。一个函数的最小项表达式与其卡诺图是一一对应的。卡诺图形象地表达了最小项的相邻,即卡诺图中每两个相卡诺图形象地表达了最小项的相邻,即卡诺图中每两个相邻的小方格形成的最小项只有一个变量互为反变量,其他邻的小方格形成的最小项只有一个变量互为反变量,其他变量均相同。变量均相同。ABB任何2个标1的相邻最小项,合并为一项,并消去一个变

50、量。ABAABAB 任何4个标1的相邻最小项,合并为一项,并消去两个变量。ABCABCCABCBBCDABBD任何8个标1的相邻最小项,合并为一项,并消去三个变量。CDABCCDABDn n个变量卡诺图中最小项的合并规律如下:个变量卡诺图中最小项的合并规律如下:(1)(1)卡诺圈中小方格的个数必须为卡诺圈中小方格的个数必须为2 2m m个,个,m m为小于或等于为小于或等于n n的整数;的整数;(2)(2)卡诺圈中卡诺圈中2 2m m个小方格有一定的排列规律,具体地说,它们含有个小方格有一定的排列规律,具体地说,它们含有m m个不同变量,个不同变量,(n-m)(n-m)个相同变量;个相同变量;

51、(3)(3)卡诺圈中卡诺圈中2 2m m个小方格对应的最小项可用一个个小方格对应的最小项可用一个(n-m)(n-m)个变量的个变量的 与与 项表示,该项表示,该 与与 项由这些最小项中的相同变量构成;项由这些最小项中的相同变量构成;(4)(4)当当m=nm=n时,卡诺圈包围了整个卡诺图,可用时,卡诺圈包围了整个卡诺图,可用1 1表示,即表示,即n n个变量个变量的全部最小项之和为的全部最小项之和为1 1。用卡诺图化简逻辑函数步骤如下:用卡诺图化简逻辑函数步骤如下:(1)(1)用卡诺图表示所要化简的逻辑函数;用卡诺图表示所要化简的逻辑函数;(2)(2)把卡诺图中所有填把卡诺图中所有填1 1的小方

52、格用卡诺圈圈起来,每个卡诺圈对应一个与的小方格用卡诺圈圈起来,每个卡诺圈对应一个与项。画圈遵守如下原则:项。画圈遵守如下原则: a.a.每个圈内每个圈内1 1的个数必须是的个数必须是2 2m m个;个; b. b.每个圈中某些小方格可以多次被圈,但必须保证每个圈内至少有一每个圈中某些小方格可以多次被圈,但必须保证每个圈内至少有一个小方格只被圈一次个小方格只被圈一次; ; c. c.卡诺圈的个数最少;卡诺圈的个数最少; d. d.每个卡诺圈尽量大;每个卡诺圈尽量大; e. e.所有填所有填1 1的小方格必须都被圈过。的小方格必须都被圈过。(3)(3)将合并的与项进行逻辑加。将合并的与项进行逻辑加

53、。【例例2-11】 用卡诺图化简逻辑函数 F(A,B,C,D)=m(0,1,2,2,5 5, ,6,7,8,6,7,8,10,10,1212, ,1414, ,1515)CDABDCACBADABCDCF(A,B,C,D)【例例2-12】 用卡诺图化简逻辑函数 F(A,B,C,D)=m(0,1,2,2,3,4,3,4,5 5, ,6,8,6,8,10,10,1212, ,1414)CDABCABADF(A,B,C,D) 如何求函数的最简如何求函数的最简“或或- -与与”式?式? 卡诺图上卡诺图上1 1方格对应的最小项组成了原函数,而方格对应的最小项组成了原函数,而0 0方格方格对应的最小项则组

54、成反函数。对应的最小项则组成反函数。 合并卡诺图上的合并卡诺图上的0 0方格便可得到反函数的最简方格便可得到反函数的最简“与与- -或或”表达式,然后再对表达式两边取反,即可得到原函数的最表达式,然后再对表达式两边取反,即可得到原函数的最简简“或或- -与与”表达式。表达式。imFikkmFikkmFikkmikkM【例例2-13】 用卡诺图将逻辑函数 化简为或-与表达式。 CDAB)()(DBDCBAF(A,B,C,D)15,14,13,12,11,7 ,6 ,4 ,3(MF(A,B,C,D)(1)(1)作出函数作出函数F F的卡诺图;的卡诺图;(2)(2)将全部将全部0 0方格合并得到反函方格合并得到反函数的最简数的最简 与与- -或或 表达式;表达式;(3)(3)对函数两边求反,即可求得对函数两边求反,即可求得函数的函数的 或或- -与与 表达式。表达式。DBCDAB(A,B,C,D)F例:填写三变量逻辑函数F(A,B,C)=m(3,5,6,7)的卡诺图ABC1111)4 , 2 , 1 , 0(MF(A,B,C)7 , 6 , 5 , 3(mF(A,B,C)7 , 6 , 5 , 3(M(A,B,C)F)4 , 2 , 1 , 0(m(A,B,C

温馨提示

  • 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
  • 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
  • 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
  • 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
  • 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
  • 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
  • 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

评论

0/150

提交评论