实验四 二输入与门_第1页
实验四 二输入与门_第2页
实验四 二输入与门_第3页
实验四 二输入与门_第4页
实验四 二输入与门_第5页
已阅读5页,还剩6页未读 继续免费阅读

下载本文档

版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领

文档简介

1、实验四 二输入与非门的VHDL设计一、任务分析1 用VHDL设计实现一个二输入与非门。二输入与非门是常用的门电路之一,其逻辑表达式为 Y= 其中,Y为与非门的输出,A、B为输入。12 任务分析 为实现设计,要掌握VHDL中逻辑运算符的应用,并对VHDL程序结构中的实体和结构体等语法现象有初步的认识。22.1 VHDL中的逻辑运算符 VHDL中的逻辑运算符共有7种,分别为; NOT 取反; AND 与; OR 或; NAND与非; NOR 或非; XOR 异或; XNOR同或。二、知识准备32 VHDL程序的一般结构 小到一个元件、一个电路,大到一个系统,都可以用VHDL描述其结构、行为、功能和

2、接口。 编程时,VHDL将一项工程设计(或称设计实体)分成“外部端口”和“内部结构、功能及其实现算法”两大部分进行描述。 一个设计实体的内、外部都设计完成后,其他实体就可以像调用普通元件一样直接调用它。4器件and2的外部引脚说明,这部分称为实体ENTITY and2 IS -实体名称为and2PORT( a,b: IN BIT; -a,b为实体的两个外部输入引脚 y : OUT BIT); -y为输出引脚 END and2;器件and2的外部功能描述,这部分称为实体5ARCHITECTURE behave OF and2 IS -结构体部分,描述实体的内部功能BEGIN y=A and b;

3、END behave;器件and2的内部功能描述,这部分称为结构体6 由此可见:实体(Entity)、结构体(Architecture)是组成VHDL程序的两个最基本结构。“实体”说明部分给出了器件的输入/输出引脚(PORT)的外部说明,包括引脚的IO类型和数据类型。“结构体”部分给出了该器件的内部功能信息。对二输入与门这类简单电路只需说明输出信号与输入信号之间的逻辑关系即可。73 任务实施1 创建一个新的文本文件(1) 启动MAX+plus,选择菜单命令File | New,弹出New对话框,如图所示。选中Text Editor file单选按钮,再单击OK按钮,打开文本编辑窗口。8(2)

4、选择菜单命令File | Save As,弹出Save As对话框,如右图所示。在文本框中输入文件名nand2.vhd,并选择好文件的保存目录。注意:文件的保存目录(路径)字符串中不能包含中文字符,保存的文件名必须与设计实体名一致,否则无法编译。92 输入设计项目并将其设为当前项目(1) 根据设计思路和预备知识,在文本编辑窗中设计输入二输入与非门的VHDL代码并实时保存。参考代码见课本39页。(2) 选择菜单命令File | Project | Set Project to Current File,将当前的设计文件指定为当前项目。(3) 保存文件并检查错误。选择菜单命令File | Project | Save&Check,保存文件并检查设计输入中的错误。103.适配编

温馨提示

  • 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
  • 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
  • 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
  • 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
  • 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
  • 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
  • 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

评论

0/150

提交评论