约翰逊计数器(扭环计数器).ppt_第1页
约翰逊计数器(扭环计数器).ppt_第2页
约翰逊计数器(扭环计数器).ppt_第3页
约翰逊计数器(扭环计数器).ppt_第4页
免费预览已结束,剩余1页可下载查看

下载本文档

版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领

文档简介

1、约翰逊计数器,Verilog语言,module Johnson_4_1s(clk,rest,Q); /主模块,四个D触发器和一 input clk; /个秒脉冲模块组成 input rest; output 3:0Q; wire 3:0d; wire a; assign d0=Q1; assign d1=Q2; assign d2=Q3; assign d3=Q0|Q1 endmodule,module D_FF(rest,clk,d,q); /D触发器模块 input rest; input clk; input d; output q; reg q; always(posedge rest

2、 or posedge clk) if(rest=1b1) begin q=1b0; end else begin q=d; end endmodule,module cp_1s(rest,clk,a); /秒脉冲模块,输出a为一秒的脉冲 input rest; input clk; output reg a; reg 25:0q; always(posedge clk or posedge rest) begin if(rest=1) begin q=0; a=0; end else if(q=24999999) begin q=0; a=a; end else q=q+1; end endmodule,NET “Q0” LOC = M5; /管脚配置 NET Q1 LOC = M11; NET Q2 LOC = P7; NET Q3 LOC = P6; NE

温馨提示

  • 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
  • 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
  • 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
  • 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
  • 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
  • 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
  • 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

评论

0/150

提交评论