基于-VHDL语言实现数字电子钟设计_第1页
基于-VHDL语言实现数字电子钟设计_第2页
基于-VHDL语言实现数字电子钟设计_第3页
基于-VHDL语言实现数字电子钟设计_第4页
基于-VHDL语言实现数字电子钟设计_第5页
已阅读5页,还剩6页未读 继续免费阅读

下载本文档

版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领

文档简介

1、基于VHDL语言实现数字电子钟的设计一设计要求:1、设计内容选用合适的可编程逻辑器件及外围电子元器件,设计一个数字电子钟,利用EDA软件(QUARTUS )进行编译及仿真,设计输入可采用VHDL硬件描述语言输入法)和原理图输入法,并下载到EDA实验开发系统,连接外围电路,完成实际测试。2、设计要求(1)具有时、分、秒计数显示功能。(2)具有清零的功能,且能够对计时系统的小时、分钟进行调整。(3)小时为十二小时制。二实验目的: 1.通过这次EDA设计中,提高手动能力。 2.深入了解时事时钟的工作原理,以及时事时钟外围硬件设备的组成。3.掌握多位计数器相连的设计方法。4.掌握十进制,六进制,二十四

2、进制计数器的设计方法。5.继续巩固多位共阴极扫描显示数码管的驱动,及编码。6.掌握扬声器的驱动。7.LED灯的花样显示。8.掌握CPLD技术的层次化设计方法三.实验方案:数字系统的设计采用自顶向下、由粗到细, 逐步分解的设计方法, 最顶层电路是指系统的整体要求, 最下层是具体的逻辑电路的实现。自顶向下的设计方法将一个复杂的系统逐渐分解成若干功能模块, 从而进行设计描述, 并且应用EDA 软件平台自动完成各功能模块的逻辑综合与优化, 门级电路的布局, 再下载到硬件中实现设计。因此对于数字钟来说首先是时分秒的计数功能,然后能显示,附带功能是清零、调整时分。通过参考EDA课程设计指导书,现有以下方案

3、:1.作为顶层文件有输入端口:时钟信号,清零按键,调时按键,调分按键;输出端口有:用于接数码管的八段码输出口,扫描用于显示的六个数码管的输出口。2.底层文件分为:(1) 时间计数模块。分秒计数模块计数为60计数,时计数模块为12计数。(2) 显示模块。显示模块由一个六进制计数器模块和一个七段译码器组成。进制计数器为六选一选择器的选择判断端提供输入信号, 六选一选择器的选择输出端分别接秒个位、秒十位、分个位、分十位和时个位、时十位的选通位用来完成动态扫描显示,同时依次输出秒个位、秒十位、分个位、分十位和时个位、时十位数向给译码模块。(3)报警模块当时间到整点时就报时。输入有时分秒计数,时钟脉冲。

4、(4)采用点阵式数码管显示,点阵式数码管是由八行八列的发光二极管组成,对于显示文字比较适合,如采用在显示数字显得太浪费,且价格也相对较高,所以不用此种作为显示.采用LED数码管动态扫描,LED数码管价格适中,对于显示数字最合适,但无法显示图形文字,在显示星期是也只能用数字表示,而且采用动态扫描法与单片机连接时,在编程时比较复杂。所以也不采用了LED数码管作为显示。采用LCD液晶显示屏,液晶显示屏的显示功能强大,可显示文字,图形,显示多样,清晰可见,所以在此设计中采用LCD液晶显示屏。四实验原理:1. 实验主控系统原理图 : 秒计数分计数时计数送数及六选一选择器整点报警数码管秒脉冲分脉冲时脉冲秒

5、脉冲时计数秒计数分计数译码传数扫描扬声器2.模块设计原理图:以上为方案原理图,秒计数、分计数模块为60计数,计满后分别产生分脉冲、时脉 ,用于分计数、时计数。各计数器同时将计数值送报时模块和送数及六选一选择器模块。送数及六选一选择器模块依次将秒分时数送往译码模块译码,同时产生扫描信号用于数码管扫描显示。整点报警在整点时刻将秒脉冲信号送扬声器声音报警。(1)秒计数模块: Second模块为秒计数模块。Clk作为秒脉冲,reset复位,setmin用于调整分钟,接按键,enmin是当秒计数记到59后产生分脉冲,秒计数重新从0开始计数。Daout为秒计数。(2)分计数模块: 分计数为分计数模块。Cl

6、k作为分脉冲,接second模块的enmin,reset用于复位,sethour用于调整小时,接按键,enhour是当分计数记到59后产生时脉冲,分计数重新从0开始计数。Daout为分计数。(3)时计数模块: 时计数为时计数模块,clk为时脉冲,接minute模块的enhour,reset复位,daout为时计数。五硬件要求: 在同一EPLD芯片EPF10K10上集成了如下电路模块: 1时钟计数:秒60进制BCD码计数;分60进制BCDD码计数;时24进制BCDD码计数;同时整个计数器有清零,调分,调时功能。在接近整数时间能提供报时信号。2具有驱动8位八段共阴扫描数码管的片选驱动信号输出和八段

7、字形译码输出。编码和扫描可参照“实验四”。3扬生器在整点时有报时驱动信号产生。六实验源程序及流程图:1.实验源程序(VHDL)library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; use ieee.std_logic_unsigned.all; -entity daclk is port( Clk : in std_logic; -时钟输入Rst : in std_logic; -复位输入S1,S2 : in std_logic; -时间调节输入 SPK : out std_logic; -扬声器输出

8、Display : out std_logic_vector(7 downto 0); -八段码管显示输出 SEG_SEL : buffer std_logic_vector(2 downto 0) ; -八段码管扫描驱动 lam :out std_logic_vector(2 downto 0) ); end daclk; -architecture behave of daclk is signal Disp_Temp : integer range 0 to 15; signal Disp_Decode : std_logic_vector(7 downto 0); signal SEC

9、1,SEC10 : integer range 0 to 9; signal MIN1,MIN10 : integer range 0 to 9; signal HOUR1,HOUR10 : integer range 0 to 9; signal Clk_Count1 : std_logic_vector(13 downto 0); signal Clk1Hz : std_logic; signal Music_Count : std_logic_vector(2 downto 0); signal count : std_logic_vector(1 downto 0); signal l

10、amp :std_logic_vector(2 downto 0); begin process(Clk) -产生1Hz 时钟的分频计数器 begin if(Clkevent and Clk=1) then if(Clk_Count110000) then Clk_Count1=Clk_Count1+1; else Clk_Count1=001; end if; end if; end process; Clk1Hz=Clk_Count1(13); process(Clk1Hz,Rst) begin if(Rst=0) then -系统复位 SEC1=0; SEC10=0; MIN1=0; M

11、IN10=0; HOUR1=0; HOUR10=0; elsif(Clk1Hzevent and Clk1Hz=1) then if(S1=0) then -调节小时 if(HOUR1=9) then HOUR1=0; HOUR10=HOUR10+1; elsif(HOUR10=2 and HOUR1=3) then HOUR1=0; HOUR10=0; else HOUR1=HOUR1+1; end if; elsif(S2=0) then -调节分钟 if(MIN1=9) then MIN1=0; if(MIN10=5) then MIN10=0; else MIN10=MIN10+1;

12、end if; else MIN1=MIN1+1; end if; elsif(SEC1=9) then SEC1=0; if(SEC10=5) then SEC10=0; if(MIN1=9) then MIN1=0; if(MIN10=5) then MIN10=0; if(HOUR1=9) then HOUR1=0; HOUR10=HOUR10+1; elsif(HOUR10=2 and HOUR1=3) then HOUR1=0; HOUR10=0; else HOUR1=HOUR1+1; end if; else MIN10=MIN10+1; end if; else MIN1=MI

13、N1+1; end if; else SEC10=SEC10+1; end if; else SEC1=SEC1+1; end if; end if; end process; process(Clk) -整点报时 begin if(Clkevent and Clk=1) then Music_Count=Music_Count+1; if(MIN10=5 and MIN1=9 and SEC10=5) then if(SEC1 MOD 2)=0) then SPK=Music_Count(2); else SPK=0; end if; elsif(MIN10=0 and MIN1=0 and

14、 SEC10=0 and SEC1=0) then SPK=Music_Count(1); else SPK=0; end if; end if; end process;process(clk1Hz) -LED灯begin lam=lamp; if (rising_edge(clk1Hz) then count = count + 1;if (count = 10) thenif (count =00) thenlamp = 001 ;elsif (count = 01) thenlamp= 010 ;elsif(count=10) then lamp = 100 ;end if;else

15、count Disp_TempDisp_TempDisp_TempDisp_TempDisp_TempDisp_TempDisp_TempDisp_Temp=SEC1; end case; end process; process(Clk) -扫描累加 begin if(Clkevent and Clk=1) then SEG_SEL=SEG_SEL+1; DisplayDisp_DecodeDisp_DecodeDisp_DecodeDisp_DecodeDisp_DecodeDisp_DecodeDisp_DecodeDisp_DecodeDisp_DecodeDisp_DecodeDis

16、p_DecodeDisp_Decode=; end case; end process; end behave;2.实验流程图: 时钟输入信号秒模块时模块分模块分频模块8段数码管显示时间设置模块进位进位报时模块显示模块置数/位选七系统的仿真调试; 1.秒计数模块仿真: 2.分计数模块仿真 : 3.时计数模块仿真: 4.系统硬件测试:本次选用cyclone系列EP1C3T144C8芯片。外部需接2个不同频率的时钟信及几上升沿按键,并接扬声器和不许译码器的数码管。以上均由EDA试验箱提供。 整个系统的构成的入端口有: clk1用于送数及六选一选择器和整点报警的时序脉冲;Stop用于整点报时的停止控

17、制;clk作为秒脉冲和整点报警的信声音号;reset用于各个模块复位;setmin调整分钟,接按键;sethour调整小时,接按键;输出端口: speak接扬声器,用于报时; led接数码管,送字型码; dp接数码管的小数点为,隔开时分秒位; sel位选扫描信号输出口,接数码管位选接口下载测试后的效果如下图所示,按复位键后数码管显示0时0分0秒开始计数,分秒时计数都正确。按动调分键或调小时键后,分位或小时位开始自加,再按键后停止。当时间到整点时会有十秒报时,按动停止键停止报时,不按此键时自动到十秒后停止报时。设计结果达到要求。八结束语: 从这次EDA设计中,可以看我们的动手能力还有待提高。另一方面,我们更加对EDA从实践上更有深刻认识。从实践中发现问题,分析问题,解决问题在这次设计中很大的体现出来,提高了我们的能力和自信。同时,成功与积极查阅资料是分不开的。 通过此次课程设计,让我对EDA这门技术有了更深的体会,并更好的学会了使用Quartus软件进行硬件设计。此次课程设计时基于VHDL语言进行的数字钟设计,在课程设计时,我更加掌握了VHDL语言的语句及语法等

温馨提示

  • 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
  • 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
  • 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
  • 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
  • 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
  • 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
  • 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

评论

0/150

提交评论