




已阅读5页,还剩32页未读, 继续免费阅读
版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领
文档简介
数字系统设计与硬件描述语言期末考试作业题目: 多功能电子秒表设计 学院: 电子信息工程学院 专业: 电子信息工程 学号: 3009204308 姓名: 张嘉男 2012-05-31一、 选题设计描述1. 功能介绍本系统能够精确计时,具有复位、计时功能,可显示计时时间的分、秒和0.1秒等度量,最长计时为10分钟。2. 算法简介本系统以50Mhz时钟信号,rst复位信号,startstop开始/暂停信号为输入,以四位7段数码管显示为输出,实现了上述功能,系统原理图符号如下所示:系统RTL级框图如下所示:本系统的实现共分为以下几个模块:模块一、分频器该模块又分为1/5000分频器divf1,1/1000分频器divf2两部分,实现对50MHz系统时钟的两次分频,最终将系统时钟分频到10Hz,作为最低位(0.1秒位)的输入时钟。divf1模块输入信号为50MHz时钟信号,复位信号,输出信号为10kHz时钟信号;divf2模块输入信号为10KHz时钟(实现上不严格是,见后面T触发器模块解释)信号,输出,复位信号,输出信号为10Hz时钟信号。模块二、计数器该模块又分为十进制计数器counter10,和六进制计数器counter6,分别实现对输入时钟信号的十进制、六进制计数,十进制计数器在系统中用于对0.1秒位,秒个位,分位的输入时钟计数(1s=10*0.1s),六进制计数器在系统中用于对秒十位的输入时钟计数(1min=60s)。模块三、T触发器Ttrigger该模块实现系统复位,开始/暂停功能,以startstop信号作为触发器的时钟输入,复位信号作为T信号,触发器输出与分位进位信号和复位信号相与,其输出在作为10Hz时钟信号输入给0.1s位十进制计数器。模块四、4-7译码器bcdsev该模块实现将各计数器的计数输出显示到数码管上,输入信号为计数器4位计数输出,输出信号为相应数码管7段,当相应段为低电平(0)时亮起。系统整体运行流程为:50MHz时钟信号输入到1/5000分频器中,分为10kHz的时钟,再经过一个用来控制系统复位、启停的与门电路后,输入到1/1000的分频器中,分为10Hz的时钟,此时钟信号输入到一个十进制计数器内,十进制计数器输出4位计数信号给4-7译码器,同时输出1位进位信号给另一个十进制计数器内,4-7译码器输出7位段选信号给0.1s显示位数码管,显示此时0.1s位数值,而另三个计数器与此计数器进行相同的工作,唯一不同的是用于10s位计时的计数器用的是六进制计数器。与此同时,当复位信号被触发(置低)时,第二个分频器divf2输入时钟为零(与门控制),同时4位数码管输出为0 00 0,实现系统复位,当复位信号取消(置高),startstop信号每触发一个上升沿,秒表开始/暂停一次。当秒表运行到10分钟后,进位信号使数码管输出归零,系统实现复位。 二、 程序源代码及说明-模块名称:divf1.vhd模块功能:实现对50MHz时钟信号的第一次分频端口信号说明:clk1: 待分频时钟信号 rst1: 分频器复位信号q1:分频后的输出信号-LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_unsigned.ALL;ENTITY divf1 ISPORT(clk1: IN STD_LOGIC; rst1: in std_logic; q1 : OUT STD_LOGIC); END divf1;ARCHITECTURE behav OF divf1 IS signal qn1:std_logic_vector(13 downto 0); BEGIN PROCESS (clk1,rst1) BEGIN if rst1=0 then qn1= 00000000000000; elsif clk1event and clk1=1 then if qn110000 then qn1=qn1+1; else qn10); end if; end if; END PROCESS; q1=qn1(13); -分频输出END behav;-模块名称:divf2.vhd模块功能:实现对50MHz时钟信号的第二次分频端口信号说明:clk2: 待分频时钟信号 rst2: 分频器复位信号q2:分频后的输出信号-LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_unsigned.ALL;ENTITY divf2 ISPORT(clk2: IN STD_LOGIC; rst2: in std_logic; q2 : OUT STD_LOGIC); END divf2;ARCHITECTURE behav OF divf2 IS signal qn2:std_logic_vector(9 downto 0); BEGIN PROCESS (clk2,rst2) BEGIN if rst2=0 then qn2= 0000000000; elsif clk2event and clk2=1 then if qn21000 then qn2=qn2+1; else qn20); end if; end if; END PROCESS; q20) ; -计数器复位 ELSIF CLK10EVENT AND CLK10=1 THEN -检测时钟上升沿 IF CQI10 1001 THEN CQI10 := CQI10 + 1; COUT10 = 0;-允许计数 ELSE CQI10 :=0000; COUT10 = 1; -大于9,计数值清零 END IF; END IF; -IF CQI10=1001 THEN COUT10 = 1; -计数大于9,输出进位信号 -ELSE COUT10 = 0; -END IF; CQ10 0) ; -计数器复位 ELSIF CLK6EVENT AND CLK6=1 THEN -检测时钟上升沿 IF CQI6 0101 THEN CQI6 := CQI6 + 1; COUT6=0;-允许计数 ELSE CQI6 := 0000; COUT6 = 1; -clear when its bigger than 5 END IF; END IF; -IF CQI6=0101 THEN COUT6 = 1; -ELSE COUT6 = 0; -END IF; CQ6 = CQI6; -将计数值向端口输出 END PROCESS;END behav;-模块名称:bcdsev.vhd模块功能: 4-7译码器端口信号说明:a: 4位输入rstsev:复位信号q: 7段输出-LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;ENTITY bcdsev ISPORT(a: IN STD_LOGIC_VECTOR(3 DOWNTO 0); rstsev:in std_logic; q: OUT STD_LOGIC_VECTOR(0 TO 6) ); -7段输出END bcdsev;ARCHITECTURE behav OF bcdsev IS BEGIN PROCESS (a) BEGIN if rstsev = 0 then q q q q q q q q q q q q=1111111; END case; end if; END PROCESS; END behav; -模块名称:Ttrigger.vhd模块功能:T触发器端口信号说明:t: t信号clk:时钟信号q: 输出信号-LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;ENTITY Ttrigger ISport (t: in std_logic; clk:in std_logic; q: out std_logic);end entity Ttrigger;architecture beh of Ttrigger issignal tmp: std_logic :=0;beginprocess (clk,t)beginif clkEVENT AND clk=1 THENif t=1 thentmp=not tmp;end if;end if;q=tmp;end process;end beh;-模块名称: bcdcounter.vhd模块功能: 系统顶层文件,调用各功能模块模块端口信号说明:clk50MHz:50MHz时钟信号rst:复位信号startstop:系统启/停信号dsecsmg60:0.1s位数码管7段输出secsmg60:1s位数码管7段输出secdsmg60:10s位数码管7段输出secmsmg60:分钟位数码管7段输出模块内部信号说明:clk10khz:第一次分频后的10khz信号triggerout:T触发器输出信号clk10khzafterand:经过控制与门后的10khz信号clk10hz:第二次分频后的10hz时钟信号dsecout:0.1s计数器进位信号secout:1s计数器进位信号secdout:10s计数器进位信号bdsec3.0:0.1s计数器计数信号bsec3.0:1s计数器计数信号bsecd3.0: 10s计数器计数信号bsecm3.0: 分钟计数器计数信号cn:分钟计数器进位信号-多功能电子秒表设计-基本要求:精确计时,具有复位、计时功能,可显示计时时间的分、秒和0.1秒等度量,最长计时为10分钟library ieee;use ieee.std_logic_1164.all;entity bcdcounter isport(clk50M:in std_logic; startstop:in std_logic; rst:in std_logic; dsecsmg,secsmg,secdsmg,secmsmg:out std_logic_vector(0 to 6);end bcdcounter;architecture one of bcdcounter iscomponent divf1PORT(clk1: IN STD_LOGIC; rst1: in std_logic; q1 : OUT STD_LOGIC); END component divf1;component Ttrigger port (t: in std_logic; clk:in std_logic; q: out std_logic);end component Ttrigger;component divf2PORT(clk2: IN STD_LOGIC; rst2: in std_logic; q2 : OUT STD_LOGIC); END component divf2;component counter10 PORT (CLK10,RST10: IN STD_LOGIC; CQ10 : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); COUT10 : OUT STD_LOGIC ); END component counter10;component counter6 PORT (CLK6,RST6: IN STD_LOGIC; CQ6 : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); COUT6 : OUT STD_LOGIC ); END component counter6;component bcdsev PORT(a: IN STD_LOGIC_VECTOR(3 DOWNTO 0); -数据输入 rstsev:in std_logic; q : OUT STD_LOGIC_VECTOR(0 TO 6) ); -7段输出END component bcdsev;signal clk10khz:std_logic; signal triggerout:std_logic;signal clk10khzafterand:std_logic; signal clk10hz:std_logic;signal dsecout:std_logic;signal secout:std_logic;signal secdout:std_logic;signal bdsec,bsec,bsecd,bsecm:std_logic_vector(3 downto 0);signal cn:std_logic;begindivf1ex: divf1 port map(clk50M,rst,clk10khz);trigger1: Ttrigger port map(rst,startstop,triggerout);clk10khzafterand=clk10khz and triggerout and (not cn);divf2ex: divf2 port map(clk10khzafterand,rst,clk10hz); dseccouter10: counter10 port map(clk10hz,rst,bdsec,dsecout);seccounter10: counter10 port map(dsecout,rst,bsec,secout);secdcounter6: counter6 port map(secout,rst,bsecd,secdout);secmconter10: counter10 port map(secdout,rst,bsecm,cn);bcddsec: bcdsev port map(bdsec,rst,dsecsmg);bcdsec: bcdsev port map(bsec,rst,secsmg);bcdsecd: bcdsev port map(bsecd,rst,secdsmg);bcdsecm: bcdsev port map(bsecm,rst,secmsmg);end architecture one;三、 仿真结果及分析系统总体仿真结果如下图所示:首先说明,为使仿真能顺利进行,在仿真时将50Mhz时钟二次分频到10khz而不是实际的10hz,另外还去掉了startstop信号,这样做并不会影响电路的内部逻辑及输出结果。由上图上图仿真结束时间设为10ms,理论仿真结果应为秒表从0 00 0一直运行到0 09 9,分析图中相应波形可知仿真结果正确。四、 心得体会通过这次实验,使我对VHDL语言有了进一步的了解和熟悉,对以前学过的数电知识是一次很好的运用,同时熟悉了Quartus II软件的使用方法,能够独立完成VHDL中型项目的设计并进行仿真。另外,通过本次实验还使我认识到在软件里的仿真参考价值是有限的,只有真正将程序下载到实际电路中才能真正检测程序的对错。为了验证程序的正确性,在第二次实验课上,我将模块中的信号分配了引脚,并烧写到DE2实验平台中,以4位数码管做显示,以两个拨码开关座输入,控制秒表的启停,复位。通过一段时间的调试,程序能够正确运行,能够实现预期功能。 这次作业为我以后的学习提供了思路,极大地提高了我的动手实践能力。16大学本科生毕业设计(论文)撰写规范本科生毕业设计(论文)是学生在毕业前提交的一份具有一定研究价值和实用价值的学术资料。它既是本科学生开始从事工程设计、科学实验和科学研究的初步尝试,也是学生在教师的指导下,对所进行研究的适当表述,还是学生毕业及学位资格认定的重要依据。毕业论文撰写是本科生培养过程中的基本训练环节之一,应符合国家及各专业部门制定的有关标准,符合汉语语法规范。指导教师应加强指导,严格把关。1、论文结构及要求论文包括题目、中文摘要、外文摘要、目录、正文、参考文献、致谢和附录等几部分。1.1 题目论文题目应恰当、准确地反映论文的主要研究内容。不应超过25字,原则上不得使用标点符号,不设副标题。1.2 摘要与关键词1.2.1 摘要本科生毕业设计(论文)的摘要均要求用中、英两种文字给出,中文在前。摘要应扼要叙述论文的研究目的、研究方法、研究内容和主要结果或结论,文字要精炼,具有一定的独立性和完整性,摘要一般应在300字左右。摘要中不宜使用公式、图表,不标注引用文献编号,避免将摘要写成目录式的内容介绍。1.2.2 关键词关键词是供检索用的主题词条,应采用能覆盖论文主要内容的通用技术词条(参照相应的技术术语标准),一般列35个,按词条的外延层次从大到小排列,应在摘要中出现。1.3 目录目录应独立成页,包括论文中全部章、节的标题及页码。1.4 论文正文论文正文包括绪论、论文主体及结论等部分。1.4.1 绪论绪论一般作为论文的首篇。绪论应说明选题的背景、目的和意义,国内外文献综述以及论文所要研究的主要内容。文管类论文的绪论是毕业论文的开头部分,一般包括说明论文写作的目的与意义,对所研究问题的认识以及提出问题。绪论只是文章的开头,不必写章号。毕业设计(论文)绪论部分字数不多于全部论文字数的1/4。1.4.2 论文主体论文主体是论文的主要部分,要求结构合理,层次清楚,重点突出,文字简练、通顺。论文主体的内容要求参照大学本科生毕业设计(论文)的规定第五章。论文主体各章后应有一节“本章小结”。1.4.3 结论结论作为单独一章排列,但不加章号。结论是对整个论文主要成果的归纳,要突出设计(论文)的创新点,以简练的文字对论文的主要工作进行评价,一般为4001 000字。1.5 参考文献参考文献是论文不可缺少的组成部分,它反映了论文的取材来源和广博程度。论文中要注重引用近期发表的与论文工作直接有关的学术期刊类文献。对理工类论文,参考文献数量一般应在15篇以上,其中学术期刊类文献不少于8篇,外文文献不少于3篇;对文科类、管理类论文,参考文献数量一般为1020篇,其中学术期刊类文献不少于8篇,外文文献不少于3篇。在论文正文中必须有参考文献的编号,参考文献的序号应按在正文中出现的顺序排列。产品说明书、各类标准、各种报纸上刊登的文章及未公开发表的研究报告(著名的内部报告如PB、AD报告及著名大公司的企业技术报告等除外)不宜做为参考文献引用。但对于工程设计类论文,各种标准、规范和手册可作为参考文献。引用网上参考文献时,应注明该文献的准确网页地址,网上参考文献不包含在上述规定的文献数量之内。1.6 致谢对导师和给予指导或协助完成论文工作的组织和个人表示感谢。内容应简洁明了、实事求是,避免俗套。1.7 附录如开题报告、文献综述、外文译文及外文文献复印件、公式的推导、程序流程图、图纸、数据表格等有些不宜放在正文中,但有参考价值的内容可编入论文的附录中。2、论文书写规定2.1 论文正文字数理工类 论文正文字数不少于20 000字。文管类 论文正文字数12 00020 000字。其中汉语言文学专业不少于7 000字。外语类 论文正文字数8 00010 000个外文单词。艺术类 论文正文字数3 0005 000字。2.2 论文书写本科生毕业论文用B5纸计算机排版、编辑与双面打印输出。论文版面设置为:毕业论文B5纸、纵向、为横排、不分栏,上下页边距分别为2.5cm和2cm,左右页边距分别为2.4cm和2cm,对称页边距、左侧装订并装订线为0cm、奇偶页不同、无网格。论文正文满页为29行,每行33个字,字号为小四号宋体,每页版面字数为957个,行间距为固定值20磅。页眉。页眉应居中置于页面上部。单数页眉的文字为“章及标题”;双数页眉的文字为“大学本科生毕业设计(论文)”。页眉的文字用五号宋体,页眉文字下面为2条横线(两条横线的长度与版芯尺寸相同,线粗0.5磅)。页眉、页脚边距分别为1.8cm和1.7cm。页码。页码用小五号字,居中标于页面底部。摘要、目录等文前部分的页码用罗马数字单独编排,正文以后的页码用阿拉伯数字编排。2.3 摘要中文摘要一般为300字左右,外文摘要应与中文摘要内容相同,在语法、用词和书写上应正确无误,摘要页勿需写出论文题目。中、外文摘要应各占一页,编排装订时放置正文前,并且中文在前,外文在后。2.4 目录目录应包括论文中全部章节的标题及页码,含中、外文摘要;正文章、节题目;参考文献;致谢;附录。正文章、节题目(理工类要求编写到第3级标题,即.。文科、管理类可视论文需要进行,编写到23级标题。)2.5 论文正文2.5.1 章节及各章标题论文正文分章、节撰写,每章应另起一页。各章标题要突出重点、简明扼要。字数一般在15字以内,不得使用标点符号。标题中尽量不用英文缩写词,对必须采用者,应使用本行业的通用缩写词。2.5.2 层次层次以少为宜,根据实际需要选择。层次代号格式见表1和表2。表1 理工类论文层次代号及说明层次名称示 例说 明章第1章 章序及章名居中排,章序用阿拉伯数字节1.1 题序顶格书写,与标题间空1字,下面阐述内容另起一段条1.1.1 款1.1.1.1 题序顶格书写,与标题间空1字,下面阐述内容在标题后空1字接排项 (1) 题序空2字书写,以下内容接排,有标题者,阐述内容在标题后空1字 版心左边线 版心右边线表2 文管类论文层次代号及说明章节条款项一、 (一) 1. (1)居中书写空2字书写空2字书写空2字书写空2字书写 版心左边线 版心右边线各层次题序及标题不得置于页面的最后一行(孤行)。2.6 参考文献正文中引用文献标示应置于所引内容最末句的右上角,用小五号字体。所引文献编号用阿拉伯数字置于方括号“ ”中,如“二次铣削1”。当提及的参考文献为文中直接说明时,其序号应该与正文排齐,如“由文献8,1014可知”。经济、管理类论文引用文献,若引用的是原话,要加引号,一般写在段中;若引的不是原文只是原意,文前只需用冒号或逗号,而不用引号。在参考文献之外,若有注释的话,建议采用夹注,即紧接文句,用圆括号标明。不得将引用文献标示置于各级标题处。参考文献书写格式应符合GB77141987文后参考文献著录规则。常用参考文献编写项目和顺序应按文中引用先后次序规定如下:著作图书文献序号作者书名(版次)出版地:出版者,出版年:引用部分起止页 第一版应省略翻译图书文献序号作者书名(版次)译者出版地: 出版者,出版年:引用部分起止页 第一版应省略学术刊物文献序号作者文章名学术刊物名年,卷(期):引用部分起止页学术会议文献序号作者文章名编者名会议名称,会议地址,年份出版地,出版者,出版年:引用部分起止页学位论文类参考文献序号研究生名学位论文题目出版地学校(或研究单位)及学位论文级别答辩年份:引用部分起止页 西文文献中第一个词和每个实词的第一个字母大写,余者小写;俄文文献名第一个词和专有名词的第一个字母大写,余者小写;日文文献中的汉字须用日文汉字,不得用中文汉字、简化汉字代替。文献中的外文字母一律用正体。作者为多人时,一般只列出前3名作者,不同作者姓名间用逗号相隔。外文姓名按国际惯例,将作者名的缩写置前,作者姓置后。学术会议若出版论文集者,可在会议名称后加上“论文集”字样。未出版论文集者省去“出版者”、“出版年”两项。会议地址与出版地相同者省略“出版地”。会议年份与出版年相同者省略“出版年”。学术刊物文献无卷号的可略去此项,直接写“年,(期)”。参考文献序号顶格书写,不加括号与标点,其后空一格写作者名。序号应按文献在论文中的被引用顺序编排。换行时与作者名第一个字对齐。若同一文献中有多处被引用,则要写出相应引用页码,各起止页码间空一格,排列按引用顺序,不按页码顺序。参考文献书写格式示例见附录1。2.7 名词术语科技名词术语及设备、元件的名称,应采用国家标准或部颁标准中规定的术语或名称。标准中未规定的术语要采用行业通用术语或名称。全文名词术语必须统一。一些特殊名词或新名词应在适当位置加以说明或注解。文管类专业技术术语应为常见、常用的名词。采用英语缩写词时,除本行业广泛应用的通用缩写词外,文中第一次出现的缩写词应该用括号注明英文全文。2.8 计量单位物理量计量单位及符号一律采用中华人民共和国法定计量单位(GB310031021993,见附录2),不得使用非法定计量单位及符号。计量单位符号,除用人名命名的单位第一个字母用大写之外,一律用小写字母。非物理单位(如件、台、人、元、次等)可以采用汉字与单位符号混写的方式,如“万tkm”,“t/(人a)”等。文稿叙述中不定数字之后允许用中文计量单位符号,如“几千克至1 000kg”。表达时刻时应采用中文计量单位,如“上午8点45分”,不能写成“8h45min”。计量单位符号一律用正体。2.9 外文字母的正、斜体用法按照GB310031021986及GB71591987的规定使用,即物理量符号、物理常量、变量符号用斜体,计量单位等符号均用正体。2.10 数字按国家语言文字工作委员会等七单位1987年发布的关于出版物上数字用法的规定,除习惯用中文数字表示的以外,一般均采用阿拉伯数字(参照附录3)。2.11 公式原则上居中书写。若公式前有文字(如“解”、“假定”等),文字顶格书写,公式仍居中写。公式末不加标点。公式序号按章编排,如第1章第一个公式序号为“(1-1)”,附录2中的第一个公式为(-1)等。 文中引用公式时,一般用“见式(1-1)”或“由公式(1-1)”。公式中用斜线表示“除”的关系时,若分母部分为乘积应采用括号,以免含糊不清,如a/(bcosx)。通常“乘”的关系在前,如acosx/b而不写(a/b)cosx。2.12 插表表格不加左、右边线。表序一般按章编排,如第1章第一个插表的序号为“表11”等。表序与表名之间空一格,表名中不允许使用标点符号,表名后不加标点。表序与表名置于表上,居中排写(见附录4)。表头设计应简单明了,尽量不用斜线。表头中可采用化学符号或物理量符号。全表如用同一单位,将单位符号移到表头右上角,加圆括号(见附录4中的例2)。表中数据应正确无误,书写清楚。数字空缺的格内加“”字线(占2个数字宽度)。表内文字和数字上、下或左、右相同时,不允许用“”、“同上”之类的写法,可采用通栏处理方式(见附录4中的例2)。表内文字说明不加标点。文管类的插表在表下一般根据需要可增列补充材料、注解、附记、资料来源、某些指标的计算方法等。表内文字说明,起行空一格,转行顶格,句末不加标点。表题用五号字,表内文字及表的说明文字均用五号字,中文用宋体。表格容量较大,必要时表格也可分为两段或多段(这只能发生在转页时),转页分段后的每一续表的表头都应重新排字,重排表头的续表上方右侧应注明(续表)字样。2.13 插图插图应与文字紧密配合,文图相符,技术内容正确。2.13.1 制图标准插图应符合技术制图及相应专业制图的规定。机械工程图:采用第一角投影法,应符合附录5所列有关标准的规定。电气图:图形符号、文字符号等应符合附录6所列有关标准的规定。流程图:符合国家标准。对无规定符号的图形应采用该行业的常用画法。2.13.2 图题及图中说明每个图均应有图题(由图号和图名组成)。图号按章编排,如第1章第一图的图号为“图1-1”等。图题置于图下。有图注或其他说明时应置于图题之上。图名在图号之后空一格排写。引用图应说明出处,在图题右上角加引用文献编号。图中若有分图时,分图号用a)、b)等置于分图之下。图中各部分说明应采用中文(引用的外文图除外)或数字项号,各项文字说明置于图题之上(有分图题者,置于分图题之上)。图题用五号字,图内文字及说明均用五号字,中文用宋体。2.13.3 插图编排插图与其图题为一个整体,不得拆开排写于两页。插图应编排在正文提及之后,插图处的该页空白不够排写该图整体时,则可将其后文字部分提前排写,将图移到次页最前面。2.13.4 坐标单位有数字标注的坐标图,除无单位者(如标示值)之外,必须注明坐标单位。2.13.5 论文中照片图及插图毕业论文中的照片图均应是原版照片粘贴(或数码像机图片),照片可为黑白或彩色,应主题突出、层次分明、清晰整洁、反差适中。照片采用光面相纸,不宜用布纹相纸。对金相显微组织照片必须注明放大倍数。毕业论文中的插图不得采用复印件。对于复杂的引用图,可采用数字化仪表输入计算机打印出来的图稿。2.14 附录理工类论文附录的序号采用“附录1”、“附录2”等,附录顺序为开题报告、文献综述、外文文献的中文译文及外文复印件等。文管类论文附录序号相应采用“附录一”、“附录二”等。3、论文排版要求3.1 纸张要求及页面设置名称格式要求纸张B5(182257),幅面白色页面设置上下页边距2.5cm和2cm,左右页边距2.4 cm和2cm,页眉、页脚分别为1.8cm和1.7cm,对称页边距、左侧装订并装订线为0cm、奇偶页不同、无网格页眉宋体字五号居中页码宋体字小五号居中3.2 封面(详见模版、B5纸单面打印)名称格式要求本科毕业设计/论文宋体字小二号,行距固定值25磅 ,间距段前、段后分别为0.5行,要求字体居中论文题目黑体字二号,行距固定值25磅,间距段前、段后分别为0.5行。论文题目中文字数不得超过25字,要求字体居中填写姓名宋体字小三号, 行距固定值20磅 , 间距段前、段后分别为0.5行,要求字体居中大学楷体字小二号,行距固定值20磅, 间距段前、段后分别为0.5行。每字间空1格,要求字体居中年 月宋体字小三号,行距固定值20磅, 间距段前、段后分别为0.5行。数字用阿拉伯数字,日期为论文提交日期,要求字体居中3.3 封面2(详见模版、B5纸单面打印)名称格式要求本科毕业设计/论文宋体字小二号,行距固定值25磅,间距段前、段后分别为0.5行,要求字体居中论文题目黑体字二号,行距固定值25磅,间距段前、段后分别为0.5行。论文题目中文字数不得超过25字,要求字体居中学院(系)宋体字四号,行距固定值20磅,间距段前、段后分别为0.5行,字体左对齐专业同上学生姓名同上学号同上指导教师同上答辩日期同上3.4 本科毕业设计/论文 任务书(单面打印)本科毕业设计/论文B5纸,单面打印,不编页码3.5 中、英文摘要名称中文摘要英文摘要标题摘要:黑体字小二居中,行距固定值20磅,间距段前、段后分别为1行Abstract: Times New Roman体小二号居中,行距固定值20磅,间距段前、段后分别为1行段落文字宋体字小四号,行距固定值20磅Times New Roman体小四号,行距固定值20磅关键词同上,“关键词”三字加粗同上,“Key Words”两词加粗页码罗马大写数字,Times New Roman体小五号字罗马大写数字,Times New Roman体小五号字3.6 目录名称示例格式要求标题目录黑体字小二号居中,行距固定值20磅,间距段前、段后分别为1行各章目录格式范例黑体字小四号, 行距固定值20磅,两端对齐,页码右对齐节标题目录格式范例宋体字小四号,行距固定值20磅,两端对齐,页码右对齐,左缩进2字符条标题目录格式范例宋体字小四号,行距固定值20磅,两端对齐,页码右对齐,左缩进3字符(条标题目录文科左缩进2字符)页码格式范例罗马大写数字,Times
温馨提示
- 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
- 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
- 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
- 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
- 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
- 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
- 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。
最新文档
- 材料力学与智能材料性能评估重点基础知识点
- 材料疲劳断裂机理误差分析重点基础知识点
- 火灾风险应急预案演练记录(3篇)
- 行政法学的现实意义探讨试题及答案
- 风险管理在项目中的应用试题及答案
- 战略管理中的团队合作试题及答案
- 行政法学学术研究试题与答案分享
- 2025年软件水平考试试题及答案的更新
- 2025年编程与科技的融合发展趋势试题及答案
- 系统架构设计评估试题及答案
- 2025湖北省安全员-B证(项目经理)考试题库
- 2025年中国科技成果转化服务行业市场集中度、企业竞争格局分析报告-智研咨询发布
- 第16课《有为有不为》公开课一等奖创新教学设计
- 体育赛事经济影响评估模型-深度研究
- 2025年上海奉贤区社区工作者及事业单位招聘177人历年高频重点提升(共500题)附带答案详解
- 小学一年级奥数经典100试题(五篇)
- 2025年中国消防救援学院第二批面向应届毕业生招聘28人历年管理单位笔试遴选500模拟题附带答案详解
- T-CIRA 46-2023 核电厂液态流出物中锶89和锶90分析 液体闪烁法
- 介入手术室感染控制管理
- 1学会尊重-尊重自己(说课稿 )-2023-2024学年道德与法治六年级下册统编版
- 会计案例分析-终结性考核-国开(SC)-参考资料
评论
0/150
提交评论