宽带功率放大器毕业论文_第1页
宽带功率放大器毕业论文_第2页
宽带功率放大器毕业论文_第3页
宽带功率放大器毕业论文_第4页
宽带功率放大器毕业论文_第5页
已阅读5页,还剩37页未读 继续免费阅读

下载本文档

版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领

文档简介

河南机电高等专科学校毕业设计论文1河南机电高等专科学校毕 业 设 计 论 文论文题目:宽带功率放大器系 部: 电子通信工程系 专 业: 通信技术 班 级: 2003 级 031 班 学生姓名: 刘 峰 强 学 号: 0346116 指导教师: 于 圣 学 2006 年 5 月 18 日河南机电高等专科学校毕业设计论文2摘 要本系统利用可变增益宽带放大器 AD603 来提高增益和扩大 AGC 控制范围,通过软件补偿减小增益调节的步进间隔和提高准确度。输入部分采用高速电压反馈型运算放大器 OPA642 作跟随器来提高输入阻抗,并且在不影响性能的条件下给输入部分加了保护电路。使用了多种抗干扰措施以减少噪声并抑制高频自激。功率输出部分采用分立元件制作。整个系统通频带为 1kHz20MHz,最小增益0dB,最大增益 80dB。增益步进 1dB,60dB 以下预置增益与实际增益误差小于0.2dB。不失真输出电压有效值达 9.5V,输出 4.5V-5.5V 时 AGC 控制范围为66dB。关键词: 宽带,放大器,宽带放大器河南机电高等专科学校毕业设计论文3ABSTRACTThis system employs variable-gain wide bandwidth AD603 to enlarge gain AGC control ranges. Programming compensation reduces gain step and enhances adjusting accuracy. The input block, which has a protective circuit not influencing the performance, employs high-speed voltage feedback amplifier OPA642 as a follower to increase. Using many kinds of anti-interference measures diminishes noise and restrains high frequency self-excitation. The power-output circuit is made up of separate elements. The whole system pass band is 1kHz20MHz,and the gain ranges from081dB.The gain step is 1dB.The below-60dB-gain error is less than 0.2dB.No distortion output voltage RMS can reach 9.5V. when the output is between 4.5V and 5.5V, AGC range is 66dB.Key Words : wide, amplifier, wide bandwidth河南机电高等专科学校毕业设计论文4目 录绪论.1第 1章 宽带放大器的概述.31.1引言.31.2宽带放大器的特点.31.3宽带放大器的技术指标.31.4宽带放大器的分析方法.4第 2章 方案论证与比较.52.1 增益控制部分.52.2 测量有效值部分.62.3 功率输出部分.72.4 自动增益控制(AGC).72.5宽带放大器幅频特性测试框图.82.6 系统整体框图.8第 3章 理论分析与参数计算.93.1电压控制增益的原理.93.2 AGC 介绍.93.3 正弦电压有效值的计算.10第 4章 系统各模块电路的设计与分析. .114.1 输入缓冲和增益控制部分.114.2 功率放大部分.134.3 控制部分.144.3.1 控制部分的简单论述144.3.2 单片机的介绍154.3.3 A/D 转换器的简单介绍.174.3.4 数字部分的信号隔离184.4 稳压电源部分.18第 5章 系统软件设计及流程图.20第 6章 电路应注意事项及抗干扰措施.21第 7章 结束语.22致谢.23河南机电高等专科学校毕业设计论文5参考文献. .24附录 1 系统原理图. .25附录 2 程序清单. .26河南机电高等专科学校毕业设计论文6绪 论众所周知,放大器是音响、有线电视、无线通信等系统中必不可少的设备。随着社会生产力的发展,人们迫切地要求在远距离随时随地迅速而准确地传送信息。于是,无线通信技术得到了迅猛的发展,技术也越来越成熟。而宽带放大器是上述通信系统和其它电子系统必不可少的一部分。如在发射设备中,就要用高频宽带功率放大器将信号放大到所需的发射功率;在接收设备中,就要用高频小信号放大器将非常微弱的信号放大。由此可知,高频宽带放大器在通信系统中起着非常重要的作用,于是人们对它的要求也越来越高。通常情况下,我们把相对频带宽度大于 2030的放大器,称为宽带放大器。这类电路主要用于对视频信号、脉冲信号或射频信号的放大。用于电视图像信号放大的视频放大器是一种典型的基带型宽带放大器,所放大的信号的频率范围可以从几赫或几十赫的低频直到几兆赫或几十兆赫的高频。这类放大器通常以电阻器为放大器的负载,以电容器作级间耦合。为了扩展带宽,除了使其增益较低以外,通常还需要采用高频和低频补偿措施,以使放大器的增益-频率特性曲线的平坦部分向两端延展。可以归入宽带放大器的还有用于时分多路通信、示波器、数字电路等方面的基带放大器或脉冲放大器(带宽从几赫到几十或几百兆赫),用于测量仪器的直流放大器(带宽从直流到几千赫或更高) ,以及音响设备中的高保真度音频放大器(带宽从几十赫到几十千赫)等。用于射频信号放大的宽带放大器(大多属于带通型) ,如雷达或通信接收机中的中频放大器,其中心频率为几十兆赫或几百兆赫,通带宽度可达中心频率的百分之几十。本设计的基本要求是输入阻抗 Ri1K;单端输入,单端输出;放大器负载电阻 600。3dB 通频带 BW=10K6MHz,在 20K5MHz 频带内增益起伏1dB。最大增益40dB,增益调节范围 1040dB(增益值 6 级可调,步进间隔 6dB 增益预测与实测误差绝对值2dB) ,需显示预测增益值。最大输出电压(有效值)Vo3V,数字显示输出正弦电压有效值,自制放大器需要的稳压电源。本系统主要是利用可变增益放大器 AD603 来提高增益和扩大 AGC 的控制范围,并通过软件补偿电路来减小增益调节的不进间隔和提高系统参数的准确性。由于本系统是一个宽带放大器,它相对于窄带而言,在各参数设计方面都有更高的要求,元器件的选择也比较困难,由于是高频,即要兼顾展宽通频带,又要考虑增益,在各部分选型的时候,抗干扰措施一定要跟得上。我们本着既满足题目要求的指标,又符合科学选材的基础上来做。在设计中,考虑多方面的因素后,本系统主要由增益控制部分,测量有效值河南机电高等专科学校毕业设计论文7部分,自动增益控制部分(AGC) 、功率输出部分,还有稳压电源等组成。首先就增益控制和输入缓冲部分而言,考虑到温度、电源电压的波动等方面因素影响。我们采用集成可变增益放大器 AD603 作为增益控制。AD603 无论是在噪声控制,还是温度的稳定性,以及增益误差都优于其它集成块,选用集成块可以避免前级的干扰,从而提高系统的稳定性,但 AD603 就本系统来说,也有不足之处,它的输入阻抗仅有 100,要满足输入电阻大于 1K 的要求,就必须加入输入缓冲部分用以提高输入阻抗,另外前级放大电路对整个电路的噪声影响也非常大,必须尽量减少噪声,所以我们选用高速、低噪声电压反馈型运算放大器OPA642 做前级跟随,还要在输入端加上过压保护电路。为了测量和显示有效值,我们经过各方面的比较论证,选用变换芯片AD637,它是一款真有效值变换芯片,具有频带宽、精度高,且外围元件少等优点,它的 3dB 带宽为 8MHz。自动增益控制电路简称 AGC,我们利用单片机根据输出信号幅度来调节增益。参考相关资料,又考虑到负载电阻为 600,输出有效值大于 6V,而我们拟选用的 AD603 输出最大有效值为 2V,故将选用两级三极管进行直流耦合和发射结直流负反馈,来组建末级功率放大。控制部分由 89C51 单片机、A/D、D/A 和基准源组成,使用 12 位串行 A/D 芯片 ADS7816、12 位串行双 D/A 芯片 TLV5618,而基准源则采用带隙基准电压源 TL431。稳压电源是独立的部件,电源选取的好坏直接影响系统的稳定性,电源部分采用抗干扰能力强的器件,输出 5V, 15V 电压,给整个系统供电。数字部分和模拟部分通过电感隔离,从而提高系统的总体性能。河南机电高等专科学校毕业设计论文8第 1章 宽带放大器的概述1.1 引言在电子技术应中,有时需要放大很宽的信号。例如,在电视机接收中,由于图像信号占有的频率范围为 06MHz,为了不失真地进行放大,要求放大器的工作频带至少为 50Hz5MHz,最好是 06MHz。再如就是,在 300MHz 的宽带示波器中,Y 轴放大器需要具有 0300MHz 的通频带。放大这类信号的宽带放大器称为视频放大器。在雷达和通信系统中,也需要传输和放大宽频带。例如,同时传输一路电视和几百路电话信号的微波多路通信设备,放大器的通频带约为 20MHz。若设备的中频选为 70MHz,则相对通频带达 30左右。这就需要宽频带的中频放大器。再就是,某些通信设备的高频功率放大器,需要在不调谐的情况下,在很宽的范围内变换工作频率,则需要采用宽带高频功率放大器。1.2 宽带放大器的特点虽然说,宽带放大器的下限频率很低,但由于其上限频率很高,故必须考虑晶体管的高频特性,而不能采用一般的低频等效电路分析。宽带放大器,从技术上讲,比一般低频放大器要求发高。这不仅因为它的频带宽,而且还由于它所放大的信号,最终接受的感觉器官往往是眼睛,而不是耳朵。前者比后者敏感很多。所以,在低频放大器中未考虑的一些问题,例如,相位失真,在宽带放大器中就必须予以考虑。不同用途的宽带放大器,其电路形式是有所不同的。大体上可分为两种情况。放大从零频到高频信号的宽带放大器,一般采用直接耦合的直流放大器;放大从低频到高频信号的宽带放大器,采用阻容耦合放大器。但不管哪类宽带放大器,由于频带宽,负载总是非调谐的。1.3 宽带放大器的技术指标1. 通频带。通频带是宽带放大器的基本指标。由于用途不同,对频带的要求也不同。因为下限频率低,而上限频率很高,往往就用上限频率表示通频带宽河南机电高等专科学校毕业设计论文9度。但是,下限频率接近零频的放大器,又必须注明它的下限频率,以便在设计电路时,能够充分考虑下限频率的顺利通过。2. 增益。宽带放大器的增益应足够高。若一级放大器不够,可增加级数满足总增益的要求,但与此同时通频带会降低。所以增益与宽带的要求往往是相互矛盾的。以后将看到,有时不得不牺牲增益来换取通频带的带宽。为了全面衡量放大器的质量指标,常需要考虑放大器的增益带宽积, 带宽积越大,宽带放大器的质量越高。3. 输入阻抗。为了减轻宽带放大器对前级的影响,要求放大器的输入阻抗高。质量高的宽带放大器的输入阻抗一般为兆欧级。4. 失真。宽带放大器的失真要小。也就是说它必须忠实地传输被放大的信号。要求输出信号与输入信号在形状上,以及各部分的比例上都要保持一致。只有放大器的非线性失真、频率失真和相位失真都足够小,才能保持输出与输入的波形保持一致。非线性失真的原因主要是由于电路中存在非线性元件,为了减小非线性失真,宽带放大器和音频放大器一样,都应该工作在器件特性曲线的直线段,而且应工作在甲类状态;频率失真的原因是由于晶体管在高频时的电容效应,以及外电路中存在一些电抗元件。由于有了这些阻抗元件,使得宽带放大器对于不同频率的信号的增益不同,从而引起频率失真;而相位失真是由于输入输出之间相位移动引起的。通常情况下,音频放大器若存在相位失真,由于人耳不易分辨,所以听到的声音与原信号一致。而电视机的视频放大器若存在一定的相位失真,那么显示的图像将表现为明暗界限加深,从而会出现双重轮廓,画面亮度不均匀等。1.4 宽带放大器的分析方法分析宽带放大器的频率特性,可以采用与分析一般音频放大器频率特性相似的方法,即稳态法。也可以用另一种分析方法,就是考察阶跃函数通过放大器后的失真情况,称为暂态法。本文仅就稳态法做一个简单的介绍。所谓稳态法,视频信号是包含有从零到很高频率分量的多频信号。通过测量和分析宽带放大器的振幅频率特性和相位频率特性,即可分析出宽带放大器的增益、带宽、相移和信号失真情况。这种方法就是稳态分析法。宽带放大器的高频特性影响输出脉冲的陡峭的前沿;而低频特性则影响平顶部分。若是输出脉冲的上升沿越陡,说明放大器的高低频特性越好。其实,稳态分析和暂态分析在本质上是一致的,只不过是稳态法是频域的分析方法,而暂态是时域的分析方法罢了。河南机电高等专科学校毕业设计论文10第 2章 方案论证与比较2.1 增益控制部分方案一:简单的放大电路可以由三极管搭接的放大电路实现。为了满足增益60dB 的要求,可以采用多级放大电路实现。对电路输出用二极管检波产生反馈电压调节前级电路实现自动增益的调节。本方案由于大量采用分立元件,如三极管等,电路比较复杂工作点难于调整,尤其增益的定量调节非常困难。此外,由于采用多级放大,电路稳定性差,容易产生自激现象。方案二:原理框图如图 2-1 所示,场效应管工作在可变电阻区,输出信号取自电阻与场效应管的分压。采用场效应管作 AGC 控制可以达到很高的频率和很低的噪声,但温度、电源等的漂移将会引起分压比的变化,用这种方案很难实现增益的精确控制和长时间稳定。图 2-1 方案二示意图方案三:为了易于实现最大 60dB 增益的调节,可以采用 D/A 芯片 AD7520 的电阻权网络改变反馈电压进而控制电路增益。又考虑到 AD7520 是廉价型的 10 位D/A 转换芯片,输出 Vout=Dn*Vref/ ,其中 Dn 为 10 位数字量输入的二进制,可102满足 =1024 挡增益调节,满足题目的精度要求。它由 CMOS 电流开关和梯形电102阻网络构成,具有结构简单、精确度高、体积小、控制方便、外围布线简化等特点,故可以采用 AD7520 来实现信号的程控衰减。但由于 AD7520 对输入参考电压Vref 有一定幅度要求,为使输入信号在 mV 至 V 每一数量级都有较精确的增益,高频放大 高频放大AGC检波输入入河南机电高等专科学校毕业设计论文11最好使信号在到达 AD7520 前经过一适当的幅度放大调整,通过 AD7520 衰减后进行相应的后级放大,并使前后级增益积为 1024,与 AD7520 的衰减分母相抵消,即可实现程控放大。但 AD7520 对输入范围有要求,具体实现起来比较复杂,而且转化非线性误差大,带宽只有几 KHZ 不能满足频带要求。方案四:如图 2-2 所示,使用控制电压与增益成线性关系的可编程增益放大器 PGA,用控制电压和增益(dB)成线性关系的可变增益放大器来实现增益控制。用电压控制增益,便于单片机控制,同时还可以减少噪声和干扰。图 2-2 方案四示意图综上所述,选用方案四,采用集成可变增益放大器 AD603 作增益控制。AD603 是一款低噪声、精密控制的可变增益放大器,温度稳定性高,最大增益误差仅为 0.5dB,它为单通道、低噪声、增益变化范围线性连续可调的可控增益放大器。带宽 90MHz 时,其增益变化范围为1131dB,而且带宽与增益的变化无关。增益变化范围可分三种模式进行控制:当 5 脚与 7 脚之间接一电阻时,可使增益变化范围进行平移。由此可见正满足题目要求的精度,其增益(dB)与控制电压(V)成线性关系,因此可以很方便地使用 D/A 输出电压控制放大器的增益。2.2 测量有效值部分方案一:利用高速 ADC 对电压进行采样,将一周期内的数据输入单片机并计算其均方根值,即可得出电压有效值:D/A单片机 A/D测有效值PAPGA输入缓冲河南机电高等专科学校毕业设计论文12niiUN12(2-1)此方案具有抗干扰能力强、设计灵活、精度高等优点,但调试困难,高频时采样困难而且计算量大,从而增加了软件难度。方案二:先对信号进行精密整流并积分,得到正弦电压的平均值,再进行ADC 采样,利用平均值和有效值之间的简单换算关系,计算出有效值并显示。只用了简单的整流滤波电路和单片机就可以完成交流信号有效值的测量。但此方法对非正弦波的测量会引起较大的误差。方案三:采用集成真有效值变换芯片,直接输出被测信号的真有效值。这样可以实现对任意波形的有效值测量。综上所述,我们采用方案三,变换芯片选用 AD637。AD637 是真有效值变换芯片,它可测量的信号有效值可高达 7V,精度优于 0.5,且外围元件少,频带宽,对于一个有效值为 1V 的信号,它的 3dB 带宽为 8MHz,并且可以对输入信号的电平以 dB 形式指示,该方案硬件、软件简单,精度也很高,但不适用于高于8MHz 的信号。此方案硬件易实现,并且 8MHz 以下时测得的有效值的精度可以保证,在我们设计要求的通频带 10kHz6MHz 内精度较高。而 8MHz 以上输出信号可采用高频峰值检测的方法来测量。2.3 功率输出部分根据本设计的要求,放大器通频带从 10kHz 到 6MHz,单纯的用音频或射频放大的方法来完成功率输出,要做到 6V 有效值输出难度较大,而用高电压输出的运放来做又很不现实,因为这种宽带功率运放用的很少,这时候我们就有必要采用分立元件了,分立元件的优势也显现出来了,虽然说使用分立元件设计困难,调试烦琐,可是却可以经过计算后得到最合适的输入输出阻抗、放大倍数等参数,里边的电阻和电容等一些辅助元件也可以根据需要更换,从这些方面来看,其较集成电路更加灵活,也比较容易实现。2.4 自动增益控制(AGC)利用单片机根据输出信号幅度调节增益。输出信号检波后经过简单 2 级 RC滤波后由单片机采样,截止频率为 100Hz。由于放大器通频带低端在 1kHz,当工河南机电高等专科学校毕业设计论文13作频率为 1kHz 时,为保证在增益变化时输出波形失真较小,将 AGC 响应时间设定为 10ms,用单片机定时器 0 来产生 10ms 中断进行输出有效值采样,增益控制电压也经过滤波后加在可变增益放大器上。AGC 控制范围理论上可达 080dB,实际上由于输入端加了保护电路,在不同输出电压时 AGC 范围不一样,输出在4.55.5V 时 AGC 范围约为 70dB,而当输出为 2V2.5V 时 AGC 范围可达 80dB。2.5 宽带放大器幅频特性测试框图图 2-3 幅频特性测试框图2.6 系统整体框图图 2-4 系统整体框图信号源宽带放大器示波器/电压表河南机电高等专科学校毕业设计论文14第 3章 理论分析与参数计算3.1 电压控制增益的原理AD603 的基本增益可以用下式算出:Gain (dB) = 40 VG + 10 (3-1) 其中,VG 是差分输入电压,单位是 V,Gain 是 AD603 的基本增益,单位是dB。从此式可以看出,以 dB 作单位的对数增益和电压之间是线性的关系。由此可以得出,只要单片机进行简单的线性计算就可以控制对数增益,增益步进可以很准确的实现。但若要用放大倍数来表示增益的话,则需将放大倍数经过复杂的对数运算转化为以 dB 为单位后再去控制 AD603 的增益,这样在计算过程中就引入了较大的运算误差。3.2 AGC介绍AGC 是自动增益控制电路的简称,常用在收音机、电视机、录像机的信号接收和电平处理电路中。它的作用是当信号较强时,使其增益自动降低;当信号较弱时,又使其增益自动增高,从而保证输出信号基本稳定。有时由于接收环境的不同、外界干扰的影响,接收到信号的强弱可能变化很大。特别是传输视频图像信号时,由于频带宽、电磁干扰严重,信号幅度大小的变化会严重影响图像的质量。为了较好的解决这个问题,可使用自动增益控制电路。它取出放大器输出的峰峰值作为增益的控制电压,使最终输出的电压信号保持在某一峰峰值之间,从而保证在 AGC 作用范围内输出电压的均匀性,故 AGC 实质上就是一个负反馈电路。如下图 3-1 所示,是 AGC 的工作曲线图。Vs 为输入电压,Vo 为输出电压,河南机电高等专科学校毕业设计论文15在 Vs1 和 Vs2 之间的曲线是 AGC 的可控区,之外就是失控区了。我们的目的是保证在 AGC 的可控区内,利用从输出端取得的反馈电压,控制放大器的增益,使输出基本保持不变,从而达到稳定输出电压的目的。图 3-1 AGC 的工作曲线图3.3 正弦电压有效值的计算AD637 的内部结构如图 3-2 所示:根据 AD637 芯片手册所给出的计算真有效值的经验公式为: rmsINrsV2(3-2)其中: INV为输入电压, rms为输出电压有效值。河南机电高等专科学校毕业设计论文16第 4章 系统各模块电路的设计与分析4.1 输入缓冲和增益控制部分01 23456OPA642D1IN4040C15470uC16100uC7470uC11100uC4100uC14E100uR512KR410KR110KR210KR32KP1RCAC50.1uC90.1u C130. uC90.1uC10.1uC20.1uC30.1u C80.1uC60.1uC120.1uC100.1uGNDD2IN4040GNDGNDGNDGNDGND GNDGNDGNDC17100uAD603 AD603GNDVCCVCC图 4-1 输入缓冲和增益控制图 3-2 AD637 的内部结构图河南机电高等专科学校毕业设计论文17由于 AD603 的输入电阻只有 100,要满足输入电阻大于 1k 的要求,必须加入输入缓冲部分用以提高输入阻抗;另外前级电路对整个电路的噪声影响非常大,必须尽量减少噪声。故采用高速低噪声电压反馈型运放 OPA642 作前级跟随,同时在输入端加上二极管过压保护。如图 4-1 所示,输入部分先用电阻分压衰减,再由低噪声高速运放 OPA642放大,从总体上还是一个跟随器,二极管可以保护输入到 OPA642 的电压峰峰值的不超过其极限(2V) 。其输入阻抗大于 1k。OPA642 的增益带宽积为 400MHz,这里放大 3.4 倍,100MHz 以上的信号将被衰减。输入输出端口 P1,P2 由同轴电缆连接,以防自激。级间耦合采用电解电容并联高频瓷片电容的方法,兼顾高频和低频信号。增益控制部分装在屏蔽盒中,盒内采用多点接地和就近接地的方法避免自激,部分电容电阻采用贴片封装,使得输入级连线尽可能短。该部分采用 AD603 典型接法中通频带最宽的一种,如图 4-2 所示,通频带为 90MHz,增益为-10+30dB,图 4-2 AD603 接成 90MHz 带宽的典型方案表 1 AD603 引脚功能脚号 代号 功能描述1 GPOS 增益控制输入“高”电压端(正电压控制)2 GNEG 增益控制输入“低”电压端(负电压控制)3 VINP 运放输入4 COMM 运放公共端5 FDBK 反馈端6 VNEG 负电源输入7 VOUT 运放输出8 VOPS 正电源输入河南机电高等专科学校毕业设计论文18图 4-3 AD603 的原理框图表 2 AD603 的选型参考型号 温度范围 封装形式AD603AR -40 度85 度 SOIC-8AD603AQ -40 度85 度 CERDIP-8AD603SQ/883B -55 度125 度 CERDIP-8增益和控制电压的关系为104)(UAGdB (4-1)一级的控制范围只有 40dB,使用两级串联,增益为20410)( dB (4-2)增益范围是20dB+60dB,满足设计的要求。由于两级放大电路幅频响应曲线相同,所以当两级 AD603 串联后,带宽会有所下降,串联前各级带宽为 90MHz 左右,两级放大电路串联后总的 3dB 带宽对应着单级放大电路 1.5dB 带宽,根据幅频响应曲线可得出级联后的总带宽为 60M.。4.2 功率放大部分 电路如图 4-4。参考音频放大器中驱动级电路,考虑到负载电阻为 600,输出有效值大于 6V,而 AD603 输出最大有效值在 2V 左右,故选用两级三极管进行直流耦合和发射结直流负反馈来构建末级功率放大,第一级进行电压放大,整河南机电高等专科学校毕业设计论文19个功放电路的电压增益在这一级,第二级进行电压合成和电流放大,将第一级输出的双端信号变成单端信号,同时也提高了带负载的能力,如果需要更大的驱动能力,则需要在后级增加三极管跟随器,实际上加上跟随器后,放大器的通频带将急剧下降,原因是跟随器的结电容被等效放大,当输入信号频率很高时,输出级直流电流很大而输出信号则很小。使用两级放大已足以满足设计的要求。选用NSC 公司 2N3904 和 2N3906 三极管(特征频率 fT250300MHz)可达到 25MHz 的带宽。整个电路没有使用频率补偿,可对 DC 到 20MHz 的信号进行线性放大,在20MHz 以下增益非常平稳,为稳定直流特性。我们将反馈回路用电容串联接地,加大直流负反馈,但这会使低频响应变差,实际上这样做只是把通频带的低频下限频率从 DC 提高到 1kHz,但电路的稳定性提高了很多。本电路放大倍数为:AG1R 14/R15 (4-3)也就是说 R13和 R14并联后,再比上 R15,由于 R14是可变电阻,而且阻值相比 R13而言很小,所以 R13可以忽略不记,整个功放电路电压放大约 10 倍。通过调节 R14来调节增益,根据电源电压调节 R8可调节工作点。R1912KR610KR164KR7910R102KP2RCAC31470uC27EL100uC25470C26100uC29470uC22100uC21100uC20100uC300.1C330.1uC280.1uC32100uC240.1C230.1uC210.1C180.1uC190.1uR154KR132KR174KR9910R122KR112KQ?12M3904 MSCQ22M3904 MSCQ32M3004 MSCQ42M3006 MSCR8R2K R1820KR142001234567 891011121314GNDGNDGNDGNDD?DIODEAD637VCCVCC河南机电高等专科学校毕业设计论文20图 4-4 功率放大电路4.3 控制部分4.3.1 控制部分的简单论述这一部分除了由 51 系列单片机外,还需要由 A/D、D/A 和基准源组成,如图4-5 所示。使用 12 位串行 A/D 芯片 ADS7816(便于测量真有效值和峰值)和 12位串行双 D/A 芯片 TLV5618。基准源采用带隙基准电压源 TL431。其中,ADS7816 是高速、微功耗 12 位 A/D 转换器,它的采样速率为200KHZ,掉电模式为 3UA(MAX),差分输入,串行接口;而 D/A 转换器采用的是具有掉电模式的双通道 12 位电压输出 TLV5618,它采用电源为 2.7V-5.5V,可编程位置时间是 3 微秒(高速模式)9 微秒(低速模式),且温度范围内单调。单片机精密基准源图 4-5 控制部分框图4.3.2 单片机的介绍控制部分需要用单片机来实现,由所学的知识和查阅资料,我感觉用 89C51A/D D/A河南机电高等专科学校毕业设计论文21来实现比较合适。下面就 89C51 芯片来个简单的介绍:MCS-51 单片机是 Intel 公司 1980 年推出的高档 8 位单片机,采用 HMOS 工艺,片内集成有 8 位的 CPU,驻留 4K 字节 ROM 和 128 字节 RAM 以及 21 个特殊功能寄存器,片内还包括两个 16 位的定时器/计数器、1 个全双工串行 I/O 口、32 条I/O 线、5 个中断源和两级中断,寻址能力达 128K 字节,程序存储器和数据存储器各占 64K 字节。指令系统中设置了乘、除运算指令、数据查找指令和位处理指令等。主时钟频率为 12MHz 时,大部分指令周期只需 1us,乘除指令也仅需4us。AT89C51 单片机性能介绍:AT89C51 是一种带 4K 字节闪烁可编程可擦除只读存储器(EPROM)的低电压,高性能 CMOS8 位微处理器,俗称单片机。该器件采用 ATMEL 高密度非易失存储器制造技术制造,与工业标准的 MCS-51 指令集和输出管脚相兼容。由于将多功能8 位 CPU 和闪烁存储器组合在单个芯片中,ATMEL 的 AT89C51 是一种高效微控制器,为很多嵌入式控制系统提供了一种灵活性高且价廉的方案。一、主要特性:1. 与 MCS-51 兼容 2. 4K 字节可编程闪烁存储器 寿命:1000 写/擦循环数据保留时间:10 年3. 全静态工作:0Hz-24Hz4. 三级程序存储器锁定5. 128*8 位内部 RAM6. 32 可编程 I/O 线7. 两个 16 位定时器/计数器8. 5 个中断源 输出 4TTL 门电流。P1 口管脚写入 1 后,被内部上拉为高电平,可用作输入,P1 口被外部下拉为低电平时,将输出电流,这是由于内部上拉的缘故。在 FLASH编程和校验时,P1 口作为第八位地址接收。 P2 口:P2 口为一个内部上拉电阻的 8 位双向 I/O 口,P2 口缓冲器可接收,输出 4 个 TTL 门电流,当 P2 口被写“1”时,其管脚被内部上拉电阻拉高,且作为输入。并因此作为输入时,P2 口的管脚被外部拉低,将输出电流。这是由于内部上拉的缘故。P2 口用于外部程序存储器或 16 位地址外部数据存储器进行存取时,P2 口输出地址的高八位。在给出地址“1”时,它利用内部上拉优势,当对外部八位地址数据存储器进行读写时,P2 口输出其特殊功能寄存器的内容。P2口在编程和校验时接收高八位地址信号和控制信号。河南机电高等专科学校毕业设计论文22P3 口:P3 口管脚是 8 个带内部上拉电阻的双向 I/O 口,可接收输出 4 个 TTL门电流。当 P3 口写入“1”后,它们被内部上拉为高电平,并用作输入。作为输入,由于外部下拉为低电平,P3 口将输出电流(ILL)这是由于上拉的缘故。P3 口也可作为 AT89C51 的一些特殊功能口,如下表所示:P3 口管脚 备选功能P3.0 RXD(串行输入口)P3.1 TXD(串行输出口)P3.2 /INT0(外部中断 0)P3.3 /INT1(外部中断 1)P3.4 T0(记时器 0 外部输入)P3.5 T1(记时器 1 外部输入)P3.6 /WR(外部数据存储器写选通)P3.7 /RD(外部数据存储器读选通)P3 口同时为闪烁编程和编程校验接收一些控制信号。RST:复位输入。当振荡器复位器件时,要保持 RST 脚两个机器周期的高电平时间。ALE/PROG:当访问外部存储器时,地址锁存允许的输出电平用于锁存地址的地位字节。在 FLASH 编程期间,此引脚用于输入编程脉冲。在平时,ALE 端以不变的频率周期输出正脉冲信号,此频率为振荡器频率的 1/6。因此它可用作对外部输出的脉冲或用于定时目的。然而要注意的是:每当用作外部数据存储器时,将跳过一个 ALE 脉冲。如想禁止 ALE 的输出可在 SFR8EH 地址上置 0。此时,ALE只有在执行 MOVX,MOVC 指令是 ALE 才起作用。二、 管脚说明:VCC:供电电压。GND:接地。P0 口:P0 口为一个 8 位三态双向 I/O 口,管脚可吸收 8TTL 门电流。当 P1口的管脚第一次写 1 时,被定义为高阻输入。P0 能够用于外部程序数据存储器,它可以被定义为数据/地址的第八位。在 FIASH 编程时,P0 口作为原码输入口,当 FIASH 进行校验时,P0 输出原码,此时 P0 外部必须被拉高。P1 口:P1 口是一个内部提供上拉电阻的 8 位双向 I/O 口,P1 口缓冲器能接收器在外部执行状态 ALE 禁止,置位无效。:外部程序存储器的选通信号。在由外部程序存储器取指期间,每个机PSEN器周期两次/PSEN 有效。但在访问外部数据存储器时,这两次有效的/PSEN 信号将不出现。/VPP:当 保持低电平时,则在此期间外部程序存储器(0000H-FFFFH) ,A河南机电高等专科学校毕业设计论文23不管是否有内部程序存储器。注意加密方式 1 时, 将内部锁定为 RESET;当EA端保持高电平时,此间内部程序存储器。在编程期间,此引脚也用于施加 12VEA编程电源(VPP) 。XTAL1:反向振荡放大器的输入及内部时钟工作电路的输入。XTAL2:来自反向振荡器的输出。4.3.3 A/D转换器的简单介绍常用的 A/D 转换器有积分型、逐次逼近型、并行比较型、串行比较型及压频变换型等。串并行比较型 A/D 转换器结构上介于并行型和逐次型之间,最典型的是由 2个 n/2 为的并行型 A/D 转换器配合 D/A 转换器组成,用两次比较实行转换。还有分成三步或多步实现 A/D 转换的叫分级型 A/D,而从转换的角度又可称为流水线型 A/D,现代的分级型 A/D 转换器中还加入了对多次转换结果做数字运算而修正特性功能。这类 A/D 速度比逐次比较型高,电路规模比并行型小。为了更好的配合 A/D 转换的使用,还需要采样、保持器。采样/保持器是指在输入逻辑电平控制下处于“采样”或“保持”两种工作状态的电路,在“采样”状态时电路的输出跟踪输入信号,在“保持”状态时,电路的输出保持着前一次采样结束时刻的瞬间输入模拟信号,直至下一次采样状态的结束,这样有利 A/D转换器对模拟信号进行数据量化。4.3.4 数字部分的信号隔离合理的布线和接地能有效地抑制噪声干扰,但是由于模拟信号和数字信号仍然存在共地点,要想彻底抑制数字噪声对模拟电路的影响有时很困难。另一方面,在某些场合,如数据采集系统,模拟信号来自工业现场,远离主机,因此在模拟信号传输线上很容易受到现场的干扰,包括尖峰干扰,这些干扰虽然对低频模拟信号的影响并不大,但对数字电路,尤其是微机系统危害极大,可能造成系统运行错误。再有模拟信号线在现场被短路、接地、漏电的机会比其他部分高,一旦发生此类事件也会危害数字系统。采用隔离措施可以进一步抑制干扰,提高系统的可靠性,使用广泛的隔离元件是光电耦合。根据隔离位置不同,有两种隔离方式,一种是隔离模拟部分,这种方式电路结构简单,使用元件少,但是要注意必须选用线性的光电的耦合器。从目前光电耦合器来看,可选用线性耦合器件的品种很少,而且线性度和温度稳定度仍然不是很理想,因此附加了模拟通路的误差,使系统转换精度下降。在精河南机电高等专科学校毕业设计论文24密模数转换系统中可以采用隔离放大器,但精密隔离放大器大多采用变压器隔离方式,其频率响应特性不如光电耦合器。另一种隔离方式是隔离数字信号端,这种隔离方式的光电耦合器的特性对模拟信号的精度无影响,缺点是数字信号端的数据线和控制线数量较少,每根需要光电耦合器,因此所用元器件数量大。而在串行接口的模数转换系统中,隔离元件的数量可以大大减少。VFC 型 ADC 输出的脉冲信号,几乎不需要控制线,所以只要一个隔离元件。另要注意的是,由于数字信号工作频率较高,所以必须采用高速光电耦合器或采取加速措施,即使这样,在微机处理器系统中还常需要插入等周期或增加信号锁存等方法来协调光电耦合器引来的延迟时间,这就增加了数字电路接口电路的复杂性和降低了系统响应的速度。实际应用系统的技术要求各不相同,采用什么类型的 ADC,需不需采用隔离措施、采用什么样的隔离方式,还要根据实际情况而定。4.4 稳压电源部分在本系统的设计过程中,电源是一个非常重要的问题。不仅因为各种芯片需要提供的电源各不相同,而且对于高频电路而言,电源上的干扰往往是致命的问题。所以我们在选取电源的时候,也要慎重。首先我们利用变压器将市电的 220V 交流电转变成有效值为 9V 和 18V 的交流电。然后通过桥式整流,经过 7805、7815、7905、7915 等电压转换器输出各个芯片。这四块芯片中 7805 和 7815 分别实现了5V 和15V 的稳压输出,而 7905和 7915 则实现了-5V 和-15V 的稳压输出。在每只芯片供电回路,我们使用电容和高频扼流圈对电源电路进行高频信号的滤波。这样我们就拥有一个比较理想的电源系统。数字部分和模拟部分通过电感隔离。电路原理如图 4-6 所示。图 9 电源部分河南机电高等专科学校毕业设计论文25图 4-6 稳压电源原理图第 5 章 系统软件设计及流程图本系统单片机控制部分采用反馈控制方式,通过输出电压采样来控制电压增益。由于 AD603 的设定增益与实际增益有误差,所以软件上还要进行校正,软件流程如图 5-1 所示:河南机电高等专科学校毕业设计论文26图 5-1 软件流程图第 6章 电路应注意事项及抗干扰措施一 、由于本电路是高频电路,所以考虑多方面的因素,应注意以下问题:1. 关于系统的布局就整个系统布局来说,元件之间应该排得尽量靠近一些,也就是说信号线尽可能走的短,这样信号线上的高频信号受电路板分布电容的影响就会小一些。而电按键扫描系统初始化有键按下?判断键码是增益增加增益减小增益显示电压显示AGC 取消AGC重新扫描否10mS 中断采样输出端口是 AGC 否?设置输出设置输出中断返回河南机电高等专科学校毕业设计论文27源和地可以走的相对较长一些,尤其是地,让各种杂波尽可能的早接地。2. 模拟地和数字地对于高频模拟电子线路来说,地需要保持尽量无杂波存在。而数字低信号比较杂,所以模拟地和数字地尽量隔开,仅仅在一个地方汇合,这样数字地上杂乱无章的信号对模拟部分的影响就会变得小很多,这对保证电路的性能非常关键的。3. 芯片外围电路的接法虽然每块芯片都要接外围元件,但是这里边还是要有一定的讲究。主要是因为目前芯片的封装让我们有时不得不进行线路的交叉,对于高频电路而言,飞线是不可取的,所以就要求我们在设计的时候充分利用板上的空间。其中有一个原则:电源线可以从插座的下面走,而信号线一定要从外围走。这样一方面是节约了空间,另一方面如果信号从里面走的话,高频信号的互相干扰会非常的利害,而电源是直流就没有这方面的顾虑,也就是说各取所用了。二 、系统总的增益为 080dB,前级输入缓冲和增益控制部分增益最大可达60dB,因此抗干扰措施必须要做得很好才能避免自激和减少噪声。我们采用下述方法减少干扰,避免自激:1.将输入部分和增益控制部分装在屏蔽盒中,避免级间干扰和高频自激。2.电源隔离,各级供电采用电感隔离,输入级和功率输出级采用隔离供电,各部分电源通过电感隔离,输入级电源靠近屏蔽盒就近接上 1000uF 电解电容,盒内接高频瓷片电容,通过这种方法可避免低频自激。3.所有信号耦合用电解电容两端并接高频瓷片电容以避免高频增益下降。4.构建闭路环。在输入级,将整个运放用较粗的地线包围,可吸收高频信号减少噪声。在增益控制部分和后级功率放大部分也都采用了此方法。在功率级,这种方法可以有效的避免高频辐射。5.数模隔离。数字部分和模拟部分之间除了电源隔离之外,还将各控制信号用电感隔离。6.使用同轴电缆,输入级和输出级使用 BNC 接头,输入级和功率级之间用同轴电缆连接。第 7章 结束语本设计主要包括模拟部分和数字部分,采用集成电路与分立元件相结合的方案,集中了各方面的优势,收到了设计简单、性能优良、稳定度高,以及实现较容易等效果。河南机电高等专科学校毕业设计论文28其中模拟前端主要采用高速低噪声电压反馈型运算放大器 OPA642 做跟随器来提高输入阻抗,利用可变增益宽带放大器 AD603 来提高增益和扩大 AGC 的控制范围,并通过软件补偿电路来减小增益调节的步进间隔和提高准确性,与此同时也在不影响系统总体性能的前提下,给输入部分加了保护电路。输入级采用集成电路,减少了分立元器件的选择,从而也避免了分立元件带来的麻烦,提高了系统的总体性能。虽然说各方面都达到了设计的要求,但是高频放大器的增益和宽带之间的关系解决的不是很理想,电路中有效值和 AGC 提取采用分别处理,虽然提高了系统的性能,但是硬件方面也带来了很多困难。俗话说 “万事开头难” 。设计电路也如此,在设计时,首先应该有一个整体思路,然后把它模块化,仔细分析每一个单元电路,有必要列出它的功能管脚功能。只有对每一个单元电路分析透彻了,才能很好的搭接整体电路,实现整体功能,完成整体设计的要求。如果一直整体考虑的话,容易顾此失彼,即使电路出

温馨提示

  • 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
  • 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
  • 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
  • 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
  • 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
  • 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
  • 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

评论

0/150

提交评论