EDA八段动态数码管显示设计实验报告_第1页
EDA八段动态数码管显示设计实验报告_第2页
EDA八段动态数码管显示设计实验报告_第3页
已阅读5页,还剩8页未读 继续免费阅读

下载本文档

版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领

文档简介

1、2012年11月8日目录EDA技术及应用课程设计任务书3实验目的 5设计要求 5实验设备 5扫描原理 5设计任务 6实验程序 6时序仿真波形图 7仿真结果 8模拟电路 8设计总结 8 课程设计评分表EDA技术及应用课程设计任务书一、设计题目动态数码管显示设计二、设计主要内容本课题要求掌握使用Quartus II设计数字系统的设计思路和设计方法。学习 VHDL基本逻辑电路的综合设计应用。掌握VHDL语言的语法规范,掌握时序电 路描述方法。掌握多个数码管动态扫描显示的原理及设计方法。设计一个八位数码管共阴极动态扫描显示控制电路, 要求显示学生自己的学 号。利用实验室设备完成系统设计并进行运行调试。

2、1、具体设计内容如下:(1 )静止显示学号;(2)动态循环显示学号。2、提供设计报告,报告要求包括以下内容:设计思路、设计输入文件、设计 与调试过程、模拟仿真结果和设计结论。三、原始资料1、LED显示模块原理LED有段码和位码之分,所谓段码就是让 LED显示出8.”的八位数据,一般情况下要通过一个译码电路,将输入的 4位2进制数转换为与LED显示对应的8位段码。位码也就是LED的显示使能端,对于共阳级的LED而言,高电平 使能。要让8个LED同时工作,显示数据,就是要不停的循环扫描每一个 LED , 并在使能每一个LED的同时,输入所需显示的数据对应的 8位段码。虽然8个 LED是依次显示,但

3、是受视觉分辨率的影响,看到的现象是8个LED同时工作。多个数码管动态扫描显示,是将所有数码管的相同段并联在一起, 通过选通 信号分时控制各个数码管的公共端,循环点亮多个数码管,并利用人眼的视觉暂 留现象,只要扫描的频率大于 50Hz,将看不到闪烁现象。2、系统结构图信号名与芯片引脚对照表硬件资源元件引脚EP3C引脚序号电路使用说明LED数码显示A133该部分电路为固定 电路。使用LED数码显示时请按照器件引脚 分配表进行引脚分 配后再下载到芯片 中。B135C136D137E138F141G142Dp12874LS138S1125S2126S31273、课程设计使用设备(1)EDA及SOPC综

4、合实验平台;(2)导线若干;(3)PC 机;(4)Quartus II开发工具软件。四、要求的设计成果(1 )根据控制要求设计硬件电路原理图。(2)编写用于系统仿真的VHDL源程序。(3 )系统仿真及验证结果。(4)编写EDA技术及应用课程设计报告,课程设计报告内容包括: 设计方案、课程设计过程和设计思想、方法、原理。 画出系统的原理图。 VHDL语言程序及仿真波形。 参考资料、参考书及参考手册。 其他需要说明的问题,例如操作说明、程序的调试过程、遇到的问题及解决方法、对课程设计的认识和建议等。 可编程控制器技术课程设计报告可以手写,也可以用电脑编排打印, 报告格式按照华中科技大学武昌分校课程

5、设计管理办法 执行。课程设计报告 要求内容正确完整,图表清晰,叙述简明,语句通顺,字数不得少于2000汉字。 课程设计报告按封面、任务书、设计说明书、图纸、实物照片贴页(实物 照片贴在A4复印纸上)、成绩评定表的顺序装订。、实验目的1. 了解实验箱中8位七段数码管显示模块的工作原理。2. 熟悉VHDL硬件描述语言及设计专用数字集成电路的自顶向下的设计 思想。3. 掌握利用CPLD/FPGA设计8位七段数码管扫描显示驱动电路的方 法。二、设计要求(1) 分析设计要求,明确性能指标。必须仔细分析课题要求,性能,指标及 应用环境等,广开思路,构思出各种总体方案,绘制结构框图。(2) 确定合理的总体方

6、案,对各种方案进行比较,以电路的先进性,结构的繁简,成本的高低及制作的难易等方面作综合比较,并考虑器件的来源,敲定可(3) 设计各单元电路。总体方案化整为零,分解成若干自行同或单元电路,逐个设计。(4) 组成系统。在一定幅面的图纸上合理布局,通常是按照信号的流向,采用左进右出的规律摆放各电路,并标出表的说明。技术指标:(1) 发光强度比由于数码管各段在同样的驱动电压时,各段正向电流不相同,所以各段发光强度不同,所有段的发光强度值中最大值与最小值之比为发光强度比。比值可以再1.5-2.3间,最大不能超过2.5.(2) 脉冲正向电流若笔画显示器每段典型正向直流工作电流为 A,则在脉冲下,正向电流可

7、以远大于A。脉冲占空比越小,脉冲正向电流可以越大三、实验设备1. 计算机(配置为:P4 CPU 128M 内存);2. 实验软件Quartus n四、扫描原理为了减少8位显示信号的接口连接线,实验箱中的数码显示采用扫描显示工作模式。即8位数码管的七段译码输入(a,b,c,d,e,f,g )是并联在一起的,而每个数码管是通过一个sel位选择sel2.O来选定的。sel与数码管之间是一 3-8译码的关系,即为0OO”时,选中第一个数码管,sel为111 ”时,选中第八个数码管。五、设计任务本实验要求在课题范围内,自行设计出程序,并模拟出电路图,以实现在8个数码管上轮流显示字符0 F的功能。六、实验

8、程序library ieee;en tity sca n_led isport(clk:i nstd_lo gic;sg:outstd_logic_vector(6 dow nto 0);bt:outstdo gic_vector(7 dow nto 0);end;architecture one of scan_led is signal cnt8:stdogic_vector(2 downto 0); sig nal a: in teger range 0 to 15;beg in p1:process(c nt8)beg in case cnt8 iswhe n"000&quo

9、t;=>bt<="00000001"A<=1;whe n"001"=>bt<="00000010"A<=3;whe n"010"=>bt<="00000100"A<=5;whe n"011"=>bt<="00001000"A<=7;whe n"100"=>bt<="00010000"A<=9;whe n"101&quo

10、t;=>bt<="00100000"A<=11;whe n"110"=>bt<="01000000"A<=13;whe n"111"=>bt<="10000000"A<=15;whe n others=>nu II;end case;end process p1;p2:process(clk)beg in if clk'event and clk='1' then cnt8<= cnt8+1;end if;

11、end process p2;p3:process(a)beg incase a iswhen 0=>sg<="0111111"when 1= >sg<="0000110"when 2=>sg<="1011011"when 3=>sg<="1001111"when 4=>sg<="1100110"when 5=>sg<="1101101"when 6=>sg<="1111101&qu

12、ot;when 7=>sg<="0000111"when 8=>sg<="1111111"when 9=>sg<="1101111"whe n 10=>sg<="1110111"whe n 11=>sg<="1111100"whe n 12=>sg<="0111001"whe n 13=>sg<="1011110"whe n 14=>sg<="1111

13、001"whe n 15=>sg<="0000110"end case;end process p3;end;七、时序仿真波形图(1 )打开波形编辑器。选择“ file"菜单中的” new"项,在hew"窗口中选择” other files “中的Vector waveform file"项,打开空白编辑器。(2) 设置时间仿真区域。将仿真时间设置在一个比较合理的时间区域。选择edit”菜单中的end time. ”项,在弹出的窗口中的time"栏处输入100,单位 选择ms:将整个仿真区域的时间设为1

14、0ms,单击ok”按钮,结束设置。(3) 编辑输入波形。单击选中波形编辑窗口的时钟信号名“ clk1 ”使之变成 蓝色条,再单击左列的时钟设置键,打开窗口,将“ clk ”的周期设定为0.5ms : phase ”相位设为默认为零,duty cycle ”占空比设为默认值50%。(4) 设定数据模式。单击信号“ light ”旁边的+”号,可以打开信号的各个分 量,查看信号的每一位。如果双击“ +”号左边的信号标记,可以打开该信号格式 设置的对话框。(5) 波形文件存盘。选择“ file ”菜单下的save ”命令,或直接单击工具栏上 的按钮即可。(6) 仿真器参数设计。选择“ assignm

15、ent ”菜单下的setting。”项,在 setting ”窗口 中左侧category ”栏中选择simulator ”项,打开窗口,在siumlation mode ”项目下选择仿真激励文件“ight.vwf ”在simulation period ”栏中选择rbn simulation until all vector stimuli are use ” 即全程仿真;确认选中 “simulation coverage report ing ”毛刺检测 glitch detecti on ”为us ;功耗估算 gen erate sig nal activity file ” 把所有的参数都设定好了之后,就可以观察相关的波形了八、仿真结果, WT-4-iIWdwr I |齒 Rs7 Enfl<q!n«ErdAie g g m殆 a q exSJ Du羽tl 0IK.sIB帆AL.5ujLi«:iOiilCffiLjjA*4>rn_/ in肋nonormiv门1XHII1I'ilLimim比T外cc>九、模拟电路十、设计总结这次课程设计上网查的资料比较多, 所以我觉得这次设计做起来比较顺手,

温馨提示

  • 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
  • 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
  • 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
  • 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
  • 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
  • 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
  • 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

评论

0/150

提交评论