利用拨码开关控制数码管进行十六进制数字显示_第1页
利用拨码开关控制数码管进行十六进制数字显示_第2页
利用拨码开关控制数码管进行十六进制数字显示_第3页
利用拨码开关控制数码管进行十六进制数字显示_第4页
利用拨码开关控制数码管进行十六进制数字显示_第5页
已阅读5页,还剩6页未读 继续免费阅读

下载本文档

版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领

文档简介

1、中北大学课程设计说明书指导教师: 王红亮职称:学生姓名:王口军学号:0706024150学院:电子与计算机科学技术学院专业:微电子学题目:利用拨码开关控制数码管进行十六进制数字显示2010年6月25日1. 课程设计目的22. 课程设计内容和要求22. 1设计内容22.2设计要求23. 设计方案及实现情况23. 1设计思路求23.2工作原理及框图23.3模块功能描述434仿真结果 53.5实验箱验证情况 54. 课程设计总结85. 参考文献81. 课程设计目的(1 )学习操作数字电路设计实验开发系统,掌握液晶显示器的工作原理及应用o(2) 掌握组合逻辑电路、时序逻辑电路的设计方法。(3) 学习掌

2、握可编程器件设计的全过程。2. 课程设计内容和要求2.1设计内容利用拨码开关控制数码管进行十六进制数字显示。2. 2设计要求(1) 学习掌握拨码开关控制模块、数码管的工作原理及应用;(2) 熟练掌握vhdl编程语言,编写拨码开关控制模块的控制逻辑;(3) 仿真所编写的程序,模拟验证所编写的模块功能;(4) 下载程序到芯片中,硬件验证所设置的功能,能够实现十兀进制数字的显 示;(5) 整理设计内容,编写设计说明书。3. 设计方案及其实现3.1设计思路由于七段数码管是共阴极的,顾可以用七个电平驱动数码管的显示。通过对 不同管脚的点亮,使数码管显示16进制的每个数字。可以用四个拨码开关组合 成4位b

3、cd以表示16进制。当四位bcd变化时,在输出端输出相应的高低电平 以驱动七段数码管的显示。3. 2工作原理及框图七段共阴极数码管由数码管出abcdefg七段组成,分别对不同的引脚写高 电平可以点亮。在输入端输入相应的bed码,在输出端就会产生相应的电平以驱 动数码管的显示。出于只需要16个数的显示。那么拨码开关只需用四个就可以 实现16个数字的表示。七段数码管如下图所示:由图知,如果要显示数字“0”,只需点亮abcdef段,对应的输出端电平为 1111110.要显示数字“1”,只需点亮be段,对应的输出端电平为0110000.要 显示数字“2”,只需点亮abdeg段,对应的输出端电平为110

4、1101.如果要显示 数字“3”,只需点亮abedg段,对应的输出端电平为1111001.如果要显示数字 “4”,只需点亮befg段,对应的输出端电平为0110011.如果要显示数字“5”, 只需点亮aedfg段,对应的输出端电平为1011011.如果要显示数字“6”,只需 点亮cdefg段,对应的输出端电平为0011111如果要显示数字“7”,只需点亮 abc段,对应的输出端电平为1110000.如果耍显示数字“8”,只需点亮abcdef 段,对应的输出端电平为11111h.如果要显示数字“9”,只需点亮abefg段,对 应的输出端电平为1110011.如果要显示数字“a”,只需点亮abce

5、fg段,对应的 输出端电平为1110111.如果要显示数字“b”,只需点亮cdef段,对应的输出端 电平为0011111.同理可以显示其他数字和字母。3.3模块功能描述根据输出功能的耍求,写出如下vhdl代码已实现所需的功能。 library ieee;use ieee.stdjogic_1164.all;use ieee.std_logic_unsigned.all;use ieee.std_logic_arith.all;entitv ravl isport (a: in stdjogic_vector(3 downto 0); n:out std_logic_vector(6 downt

6、o 0); end rayl;architecture b of ravl issignal v:std_logic_vector(6 downto 0): begin process(a)case a iswhen “0000pyt1111110" when "0010"=y1101101" when "0100py珂 110011" when "011 化y10111ir whe/looowlllllir ehen"1010'y二"1110111" when "1100二丫

7、100111 when ww1001111" end case;when 0001py0110000 when "001化yu1111001" when "ololpytlolloll" when 011化丫詢110000 when "1001w1111011" when "1011"=y0011111" when "llolwytollllor when others二y二"1000111"n<zy; end process; end b;3.4仿真结果通过

8、拨动开关对输入端依次输入bcd码,然后仿真得出以下波形图。图23. 5实验箱验证情况将程序仿真编译后下载到fpga芯片,拨动拨码开关,可以在数码管上依次 显示16进制的数字,图3是硬件的连线图。11533ihaotluuifsh以下是拨动拨码开关显示的16进制数的截图,从0到f的显示。4. 课程设计总结pld的发展趋势:向高集成度、高速度方向进一步发展,最高集成度已达到 400万门;向低电压和低功耗方向发展,内嵌多种功能模块ram, rom, fifo, dsp, cpu方向发展;向数、模混合可编程方向发展。以此我们必须掌握fpga的开发 及应用。耍实现某一功能,首先应根据耍求查找相关资料,确定实现方案。然厉 设计输入,对输入的文件编译后波形仿真。经过仿真后下载到fpga再进行验证, 最终实现功能。5. 参考文献1. 任勇峰,庄新viidl与硬件实现速成.北京:国防工业出版社,20052.

温馨提示

  • 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
  • 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
  • 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
  • 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
  • 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
  • 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
  • 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

评论

0/150

提交评论