版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领
文档简介
1、东 北 石 油 大 学课 程 设 计 2012年 3 月2日东北石油大学课程设计任务书课程 EDA 技术课程设计 题目 洗衣机控制器 专业 电子信息工程姓名 姜长剑 学号080901140922主要内容、基本要求、主要参考资料等 主要内容:设计一个洗衣机控制器,要求洗衣机有正转、反转、暂停三种状态。设定洗衣机的工作时间,要洗衣机在工作时间内完成:定时启动 正转20秒 暂停10秒 反转20秒 暂停10秒 定时未到回到“正转20秒 暂停10秒 ”,定时到则停止,同时发出提示音。基本要求:1、设计一个电子定时器,控制洗衣机作如下运转:定时启动 正转20秒 暂停10秒 反转20秒 暂停10秒 定时未到
2、回到“正转20秒 暂停10秒 ”,定时到则停止;2、若定时到,则停机发出音响信号;3、用两个数码管显示洗涤的预置时间(分钟数),按倒计时方式对洗涤过程作计时显示,直到时间到停机;洗涤过程由“开始”信号开始;4、三只LED 灯表示“正转”、“反转”、“暂停”三个状态。 1 潘松著.EDA 技术实用教程(第二版. 北京:科学出版社,2005. 2 康华光主编. 电子技术基础 模拟部分. 北京:高教出版社,2006. 3 阎石主编. 数字电子技术基础. 北京:高教出版社,2003.2012年 2月27日一、总体设计思想1. 基本原理首先用电路控制三只LED 显示洗衣机正转、反转、暂停三种状态。然后用
3、电子定时器控制洗衣机设定的工作时间,以及正传和反转运行时间的控制。同时用两个数码管显示洗涤的预置时间(按分钟计数),按倒计时方式对洗涤过程作计时显示,直到时间到停机;洗涤过程由“开始”信号开始;最后定时到则停止,同时用蜂鸣器发出提示音。通过各种开关组成控制电路,使洗衣机实现程序运转。 直至结束为止。 2. 设计框图洗衣机控制电路由定时输入模块,电机输出模块,电机时间控制模块,数字显示电路,倒计时模块以及报警器模块组成。 图一二、设计步骤和调试过程1、总体设计电路电路图如下所示: 图二 2、模块设计和相应模块程序 (1)定时输入模块:shuruinstshu hshu din dout3.0do
4、ut13.0此模块是为了实现洗衣机能够定时输入的功能,保证洗衣机能够正常运行。 library IEEE;Port ( shu : in std_logic; hshu: in std_logic; din : in std_logic;dout:out std_logic_vector(3 downto 0;dout1:out std_logic_vector(3 downto 0; end shuru;architecture Behavioral of shuru issignal count: std_logic_vector(3 downto 0; signal count1: st
5、d_logic_vector(3 downto 0; signal count2: std_logic_vector(3 downto 0; signal count3: std_logic_vector(3 downto 0; beginprocess(shu,hshu,din begindout<=count; dout1<=count1; if din='1' thendout<="1111"dout1<="1111" elsif rising_edge(shu then if count="100
6、1" then count<="0000" elsecount<=count+1; end if; end if;if rising_edge(hshu then if count1="0110" then count1<="0000" elsecount1<=count1+1; end if; end if; end process; end Behavioral;(2)电机时间控制模块:washmachineinstclkc d此模块由一个累加器和一个命令控制器组成,用来实现预置洗涤时间的功能,洗
7、涤时间以分钟数为单位,用户可根据自己的需求来设定洗涤时间的长短。library IEEE;Port ( clk : in std_logic; c :out std_logic; d :out std_logic; end washmachine;architecture miao20 of washmachine issignal count: std_logic_vector(2 downto 0; signal shi: integer range 0 to 60; beginprocess(clk beginif rising_edge(clk then if shi=60 then
8、shi<=0;c<='1'else shi<=shi+1;c<='0'end if;if count="101" then count<="000" d<='1' elsecount<=count+1; d<='0' end if; end if; end process;end miao20; (3)电机输出模块dianjiinstccdd1.0deng2.0此模块是为了实现能够控制洗衣机电机时间达到正转、反转、暂停的功能。 library
9、IEEE;cc :in std_logic;dd :out std_logic_vector(1 downto 0; deng : out std_logic_vector(2 downto 0; end dianji;architecture di of dianji issignal count : std_logic_vector(2 downto 0; signal dian : std_logic_vector(1 downto 0; signal deng1 : std_logic_vector(2 downto 0; begindd <= dian; deng<=de
10、ng1; process(cc beginif rising_edge(cc then if count = "101" then count <= "000" elsecount <= count+1; end if;if count="000" thendian<="01"deng1<="100" elsif count="010" thendian<="00"deng1<="010" elsif
11、 count="011" thendian<="11" ;deng1<="001"end if; end if; end process; end di ;(4)数码管显示模块: 根据课程设计要求,必须将洗衣机的工作状态及工作时间在数码管和指示灯上显示出来,此模块是用来控制洗衣机的工作状态及工作的频率,并把工作状态及工作时间显示出来。library IEEE;Port (din:in std_logic_vector(3 downto 0 ; dout:out std_logic_vector(6 downto 0 ; e
12、nd shuma;architecture Behavioral of shuma is beginprocess(din begin case din iswhen "0000" => dout<="0000001"-0 when "0001" => dout<="1001111"-1 when "0010" => dout<="0010010"-2 when "0011" => dout<="0
13、000110"-3 when "0100" => dout<="1001100" -4 when "0101" => dout<="0100100"-5 when "0110" => dout<="0100000"-6 when "0111" => dout<="0001111"-7 when "1000" => dout<="00000
14、00"-8 when "1001" => dout<="0000100"-9when others => dout<="1111111" end case; end process; end Behavioral; (5)倒计时模块: 由于洗衣机有工作时间,必须要一模块来控制它的工作时间范围,当洗衣机开始工作后,减法计数器即会实现减数功能,直到时间减到零,洗衣机便停止工作。library IEEE;Port ( jian : in std_logic; reset : in std_logic;din
15、 : in std_logic_vector(3 downto 0; dout : out std_logic_vector(3 downto 0; c:out std_logic; end hui;architecture Behavioral of hui issignal count : std_logic_vector(3 downto 0; begindout <= count; process(jian,reset,din beginif reset='1'then count <= din ; c<='0'elsif rising
16、_edge(jian then if count = "0000" then count <= "1001" c<='1'elsecount <= count-1; c<='0' end if; end if; end process; end Behavioral; library IEEE;Port ( jian : in std_logic; reset : in std_logic;din : in std_logic_vector(3 downto 0; dout : out std_lo
17、gic_vector(3 downto 0; c:out std_logic; end hui1;architecture Behavioral of hui1 issignal count : std_logic_vector(3 downto 0; begindout <= count; process(jian,reset,din beginif reset='1'then count <= din ; c<='0'elsif rising_edge(jian then if count = "0000" then c
18、<='1' elsecount <= count-1; c<='0' end if; end if; end process; end Behavioral;(6)报警器模块:当洗涤时间结束时,洗衣机就会自动发出警报声,以此提醒用户洗涤工作完成,此模块就是实现此功能。 library ieee;finishc: in std_logic; warn:out std_logic; end warming;Architecture six of warming is begin process(clk BeginIf finishc=1then w
19、arn<=clk; Else warn<=0; end if;end process; end;3、仿真及仿真结果分析EDA工具在EDA 技术应用中占据极其重要的位置,EDA 的核心是利用计算机完成电子设计全程自动化,因此基于计算机环境的EDA 软件的支持是必不可少的。此次设计所用EDA 工具是由著名的Alter 公司生产的MAX plus工具软件,它是一种集成的开发环境,支持原理图、VHDL 和Verilog 语言文本文件,以及波形文件作为设计输入,并支持这些文件的人以混合设计。 (1)定时输入仿真: (2)电机时间控制模块: (4)数码显示仿真: 4、实验调试结果通过几天的调试
20、,调试结果达到了设计要求。实现功能如下:定时启动正转20秒暂停10秒反转20秒暂停10秒定时未到回到“正转20秒暂停10秒”,时间控制正常。三只LED 管也能显示“正转”、“反转”、“暂停”三个状态。运转时,数码管也能显示倒计时间。最后当定时达到时,蜂鸣器发出响声报警。三、结论及心得体会 通过这次课程设计使我懂得了理论与实际相结合是很重要的,只有理论知 识是远远不够的,只有把所学的理论知识与实践相结合起来,从理论中得出结 论,才能真正地更好去理解知识,从而提高自己的实际动手能力和独立思考的 能力。从查阅相关的资料到自己设计总体方案,每一个环节都是在对以往知识 学习掌握的复习,归纳总结以及提升,EDA 以硬件描述语言 VHDL 为系统描述手 段完成的设计文件,自动的完成逻辑编译,逻辑化简,逻辑分割,逻辑
温馨提示
- 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
- 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
- 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
- 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
- 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
- 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
- 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。
最新文档
- 商业地产预售合同范本
- 外线拆除施工协议合同
- 外卖店铺转让协议合同
- 售后服务居间合同协议
- 土地鱼池承包合同范本
- 家政卫生协议书合同书
- 国际商务咨询合同范本
- 培训人员劳务合同范本
- 外墙保温装饰合同范本
- 培训学校聘任合同范本
- 干眼科普健康宣教
- 粮库安全生产培训
- 口腔护理并发症的预防及处理
- 汽车4s店生产设备管理制度
- 商品育肥猪饲料原料生产基地可行性研究报告
- 中国石油大学(北京)《习概》2023-2024学年第二学期期末试卷
- 设备试验合作协议书范本
- 房地产经纪人专业基础考试真题及答案(2025年新版)
- 2025年公路水运工程试验检测师(桥梁隧道工程)真题和答案
- 2025年安徽淮北阳光电力服务有限责任公司招聘笔试参考题库含答案解析
- 2025-2030中国油田聚丙烯酰胺行业市场发展趋势与前景展望战略研究报告
评论
0/150
提交评论