编码器和译码器的设计_第1页
编码器和译码器的设计_第2页
编码器和译码器的设计_第3页
编码器和译码器的设计_第4页
编码器和译码器的设计_第5页
已阅读5页,还剩10页未读 继续免费阅读

下载本文档

版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领

文档简介

1、计算机组成原理课程设计报告编码器和解码器的设计编码器和解码器是计算机电路中的基本设备。本课程采用EDA技术设计编码器和解码器。编码器以八-三优先级编码器为例表示,解码器由两个示例模块组成:三-八解码器和二-四解码器。在课程设计中,采用硬件描述语言VHDL对电路进行模块化设计,然后进行编程、时序仿真和分析。该课程设计简单,易于使用,具有一定的应用价值。关键词计算机电路;EDA编码器;翻译者目录 TOC o 1-3 h z u HYPERLINK l _RefHeading_Toc188160468 1引言1 HYPERLINK l _RefHeading_Toc188160469 1.1 设计的

2、目的1 HYPERLINK l _RefHeading_Toc188160470 1.2 设计的基本容1 HYPERLINK l _RefHeading_Toc188160471 2 EDA、VHDL简介1 HYPERLINK l _RefHeading_Toc188160472 2.1 EDA技术1 HYPERLINK l _RefHeading_Toc188160473 2.1.1 EDA技术的概念1 HYPERLINK l _RefHeading_Toc188160474 2.1.2 EDA技术的特点2 HYPERLINK l _RefHeading_Toc188160475 2.2 硬

3、件描述语言VHDL2 HYPERLINK l _RefHeading_Toc188160476 2.2.1 VHDL的简介2 HYPERLINK l _RefHeading_Toc188160477 2.2.2 VHDL语言的特点2 HYPERLINK l _RefHeading_Toc188160478 2.2.3 VHDL的设计流程3 HYPERLINK l _RefHeading_Toc188160479 3设计规划过程4 HYPERLINK l _RefHeading_Toc188160480 3.1编码器的工作原理4 HYPERLINK l _RefHeading_Toc188160

4、481 3.2译码器的工作原理4 HYPERLINK l _RefHeading_Toc188160482 3.3课程设计中各部分的设计4 HYPERLINK l _RefHeading_Toc188160483 3.3.1八-三优先编码器的设计4 HYPERLINK l _RefHeading_Toc188160484 3.3.2三-八译码器的设计5 HYPERLINK l _RefHeading_Toc188160485 3.3.3二-四译码器的设计6 HYPERLINK l _RefHeading_Toc188160486 4 系统仿真7 HYPERLINK l _RefHeading_

5、Toc188160487 4.1八-三优先编码器仿真与分析7 HYPERLINK l _RefHeading_Toc188160488 4.2三-八译码器仿真与分析8 HYPERLINK l _RefHeading_Toc188160489 4.3二-四译码器仿真与分析8 HYPERLINK l _RefHeading_Toc188160490 结束语10 HYPERLINK l _RefHeading_Toc188160491 致11 HYPERLINK l _RefHeading_Toc188160492 参考文献12 HYPERLINK l _RefHeading_Toc18816049

6、3 附录131个报价随着社会的发展,科技也在不断进步。从以前用半导体技术实现的计算器,到现在广泛使用的用高集成度芯片实现的多功能计算器。电脑电路是电脑的重要组成部分。了解计算机电路知识是促进计算机发展的先决条件。编码器和解码器是计算机电路中的基本器件,了解它们可以为以后的进一步研究打下良好的基础。本设计主要介绍了一种基于VHDL语言的计算机电路中编码器和解码器的编程实现。1.1设计目的本次设计的目的是通过实践掌握计算机组成原理的分析方法和设计方法,了解EDA技术,掌握VHDL硬件描述语言的设计方法和思想。以计算机组成原理为指导,将所学的VHDL语言与电子电路设计知识相结合,掌握课程知识和基本单

7、元电路的综合设计应用。通过编码器和解码器的设计,巩固和综合运用所学知识,提高IC设计能力,提高分析和解决计算机技术实际问题的独立工作能力。1.2设计的基本能力根据计算机组成原理中组合逻辑电路设计的原理,用VHDL设计计算机电路中编码器和解码器的各个模块,并用EDA工具对各个模块进行仿真、验证和分析。编码器以八-三优先级编码器为例表示,解码器由两个示例模块组成:三-八解码器和二-四解码器。2.EDA和VHDL简介2.1 EDA技术2 . 1 . 1 EDA技术的概念埃达群岛 HYPERLINK ./%20%20%20%20:/lunwen.24en%20%20%20%20/dianzijixie

8、/ 电子自动化的缩写(E1echonics Des5p AM toM60n)。因为它只是一扇门 HYPERLINK ./%20%20%20%20:/lunwen.24en%20%20%20%20/fazhan/ 发展新技术,涉及面广, HYPERLINK ./%20%20%20%20:/abroad.24en%20%20%20%20/ 容丰富,理解不同。从EDA技术的几个主要方面 HYPERLINK ./%20%20%20%20:/abroad.24en%20%20%20%20/ 容看,可以理解为:EDA技术是以大规模可编程逻辑器件为设计载体,以硬件描述语言为系统逻辑描述的主要表达方式,具有

9、HYPERLINK ./%20%20%20%20:/lunwen.24en%20%20%20%20/pc/ 计算机、大规模可编程逻辑器件开发软件和实验开发系统为设计工具,通过相关开发软件,自动完成软件设计。 HYPERLINK ./%20%20%20%20:/lunwen.24en%20%20%20%20/dianzijixie/ 电子从系统到硬件系统的新技术。2 . 1 . 2 EDA技术的特点采用可编程器件,通过设计芯片实现系统功能。使用硬件描述语言作为设计输入,并引入LibraLy。设计者定义了器件的逻辑和管脚,所以大部分原本由电路板设计完成的工作都在芯片的设计中进行。由于引脚定义的灵活

10、性,大大降低了电路图设计和电路板设计的工作量和难度,有效增强了设计的灵活性,提高了工作效率。可以减少芯片数量,减小系统体积,降低能耗,提高系统的性能和可靠性。可以全方位使用。 HYPERLINK ./%20%20%20%20:/lunwen.24en%20%20%20%20/pc/ 计算自动设计、模拟和调试。2.2硬件描述语言-VHDLVHDL的介绍VHDL是一种用于电路设计的高级语言。它出现在20世纪80年代末。最初由美国国防部开发,是美国军方用来提高设计可靠性和缩短开发周期的设计语言。但因为在一定程度上满足了当时的设计要求,所以在1987年成为A I/IEEE的标准(IEEE STD 10

11、76-1987)。1993年进一步修订,变得更加完整,成为A I/IEEE的A I/IEEE STD 1076-1993标准。目前大多数CAD厂商生产的EDA软件都是兼容这个标准的。VHDL是用英文写的:VHSIC(超高速集成电路)硬件描述G语言。它翻译成中文就是超高速集成电路的硬件描述语言。因此,它的应用主要是在数字电路的设计中。2 . 2 . 2 VHDL语言的特点VHDL是一种以普通文本形式设计数字系统的硬件描述语言。它主要用于描述数字系统的结构、行为、功能和接口,可以在任何字处理软件环境中编辑。除了它包含了许多具有硬件特征的语句外,它的形式、描述风格和语法都与计算机高级语言非常相似。V

12、HDL程序将工程设计项目(或设计实体)分为描述外部端口信号的可见部分和描述端口信号之间逻辑关系的不可见部分。这种将设计项目划分为外部部分和外部部分的概念是HDL的基本特征。当一个设计项目定义了一个外部接口(端口)后,在它的设计完成后,其他设计可以使用这个外部接口直接调用这个项目。VHDL的主要特点如下:1.作为HDL的第一个国际标准,VHDL具有很强的可移植性。2.它具有丰富的仿真语句和库函数,可以随时对设计进行仿真,因此可以在电路系统组装前消除设计中的错误,在设计前期检查设计系统功能的可行性,具有很强的预测能力。3.VHDL可读性好,接近高级语言,容易理解。4.系统设计与硬件结构无关,方便工

13、艺转换,不会因工艺变化而使描述过时。5.支持模块化设计,可以将大型设计项目分解成若干个小项目,也可以将已有的设计项目作为一个模块调用。6.对于用VHDL完成的确定性设计,可以使用EDA工具进行逻辑综合和优化,VHDL描述可以自动转换成门级网表文件。7.设计灵活,修改方便,设计成果的交换、保存和重用也很方便。产品开发速度快,成本低。2 . 2 . 3 VHDL设计流程它主要包括以下步骤:1.文本编辑:它可以用任何文本编辑器或特殊的HDL编辑环境来完成。一般来说,VHDL文件保存为。vhd文件和Verilog文件另存为。v文件。2.使用编译工具编译源文件。3.功能模拟:将文件转入HDL仿真软件进行

14、功能仿真,检查逻辑功能是否正确(也叫预仿真,简单设计可以跳过这一步,只在布线完成后进行时序仿真)。4.逻辑综合:将源文件转移到逻辑合成软件中进行合成,即将语言合成为最简单的布尔表达式。逻辑软件将生成EDA行业标准文件。edf或者。伊迪芙。5.布局和布线:转移。edf文件到PLD厂商提供的软件中进行布线,即把设计好的逻辑放在PLD/FPGA中。6.时间序列模拟:需要利用版图中获得的精确参数,用仿真软件验证电路的时序。(也叫后仿真)通常情况下,上述过程都可以在PLD/FPGA厂商提供的开发工具中。7.刻录并写入设备3设计规划流程3.1编码器的工作原理83个优先级编码器具有输入信号i0、i1、i2、

15、i3、i4、i5、i6和i7,以及输出信号a2、a1和a0。在输入信号中,i0优先级最低,以此类推,i7优先级最高。也就是说,如果i7输入为1(即高电平),无论后续输入信号如何,对应的状态都是一样的;如果i7输入为0(即低电平),则由优先级仅次于i7的i6状态决定,以此类推。因为i0到i7有8个状态,所以可以用3位二进制代码来表示。例如,i7为1,对应于输出的二进制代码111。3.2解码器的工作原理二进制解码器的输入是一组二进制码,输出是与输入码一一对应的一组高低电平信号。对于3-8解码器,3位二进制有8个状态,所以对应的输出有8个状态。例如,对于二进制代码111,输出是10000000。对于

16、2-4解码器,2位二进制有四种状态,因此相应的输出也有四种状态。例如,对于二进制代码11,输出是1000。3.3课程设计各部分的设计课程设计的每一部分用VHDL实现后,用EDA工具进行每一部分的时序仿真,目的是通过时序更清楚地了解程序的工作过程。3.3.1八-三优先级编码器的设计整个八三优先级编码器的工作框图如图3.1所示。我我7.0 A2.0(美国联邦政府职员)总表(General Schedule)优先一个图3.1八-三优先级编码器工作框图83优先级编码器由VHDL程序实现,以下是其中一个关键的VHDL代码:过程(一)开始gs = 1a = 100如果i(7)=1 ,那么一个 = 111

17、;elsif i(6)=1 那么一个 = 110 ;elsif i(5)=1 那么一个 = 101 ;elsif i(4)=1 那么a = 100elsif i(3)=1 那么a = 011elsif i(2)=1 那么a = 010elsif i(1)=1 那么a = 001elsif i(0)=1 那么一个 = 000 ;其他gs dataout dataout dataout dataout dataout dataout dataout dataout data out o o o o o = XXXX ;结束案例;结束进程;4系统模拟4.1八-三优先级编码器的仿真和分析八三优先级编码

18、器用VHDL程序实现后,其仿真图如图4.1所示。图4.1八-三优先级编码器的功能序列仿真图仿真图分析:gs为编码输出标志,I为输入信号组,由8个输入信号i7-i0组成。a是输出信号组,由三个二进制码输出信号a2-a0组成。gs为1时,表示输出。当i7为1时,即输入为:1 * * * * * *,输出为111;当i7为0时,输出由i6决定,i6仅次于i7,即当输入为:01 * * * * *,输出为110,以此类推。当输入为:001 * * * *时,输出为110。当输入为000001*时,输出为010,当输入为000001*时,输出为001,当输入为0000001时,输出为000。8-3优先级

19、编码器的引脚分布如表4.1所示。表4.1八三优先级编码器下载板芯片引脚对照表总表i0i1i2i3i4i5i6i7EPF8282P72P01P02P03P04P06P07P08P09EPF10K10P73P03P05P06P07P08P09P10P11a0第一等的主动脉第二声EPF8282P55P56P57EPF10K10P53P54P584.2三八解码器的仿真与分析3-8解码器用VHDL程序实现后,其仿真图如图4.2所示:图4.2三八译码器功能序列仿真图仿真图分析:cs解码输出标志,datain为输入信号组,由三个二进制码输入信号datain2-datain0组成。Out是输出信号组,由8个输

20、出信号组成:Dataout 7-data out 0。当cs为1时,表示输出。当输入为:111时,为解码后的指定状态,即输出为1000000,以此类推。当输入为:110时,输出为0100000,当输入为101时,输出为00010000,当输入为011时,输出为000000。4.3 2-4解码器的仿真与分析用VHDL程序实现第2-4位译码器后,其仿真图如图4.3所示:图4.3 2-4解码器的功能序列仿真图仿真图分析:I为输入信号组,由两个二进制码输入信号i1-i0组成。o是输出信号组,由四个输出信号o3-o0组成。当输入为:11时,为解码后的指定状态,即输出1000,以此类推。当输入为:10时,

21、输出0100,当输入为01时,输出0010,当输入为00时,输出0001。2-4解码器的引脚分布如表4.2所示:表4.2二四解码器下载板芯片引脚对照表i0i1o0o1氧气臭氧EPF8282P01P02P55P56P57P58EPF10K10P03P05P53P54P58P59结束语经过两周的努力,我终于完成了我的设计任务基于VHDL的编码器和解码器的设计。通过对本课程设计的学习,我深刻认识到了设计课程的重要性和目的。这门设计课不仅培养了我们的实际操作能力,还培养了我们灵活运用课本知识、理论联系实际、独立设计的能力。不仅是学习新知识、新方法的好机会,也是对所学知识的全面检查和复习,让自己明白自己

22、的不足,从而查漏补缺。希望学校以后多安排一些类似的实践环节,让学生学以致用。在设计中,我被要求有耐心,有毅力,细心,粗心。一个小小的错误都会导致不正确的结果,对错误的检验需要我有足够的耐心。通过这次设计和设计中遇到的问题,我积累了一些经验,对以后的IC设计工作有所帮助。在应用VHDL的过程中,我真正体会到了它在电路设计上的优越性。使用VHDL硬件描述语言设计数字系统方便灵活,使用EDA软件编译优化仿真的情况极大减少。 HYPERLINK ./%20%20%20%20:/ 电路设计时间和可能出现的错误降低了开发成本,这种设计方法必将在未来的数字系统设计中发挥越来越重要的作用。致谢本次设计是在肖晓

23、丽老师的悉心指导和严格要求下完成的。从选题到具体设计调试,都是肖老师的悉心指导,都凝聚了她的心血和汗水。她多次给我指点迷津,帮我开拓设计思路,细心启发,热情鼓励。她渊博的知识,开阔的视野,敏锐的思维,深深地激励了我。通过这次课程设计,我从肖老师那里学到了很多有用的知识,也积累了一些电路设计的经验。最后,非常感谢肖小丽对我的指导和很大的帮助。参考1刚,龙海燕。现代电子技术-VHDL和数据系统设计。:电子工业,2004年2黄仁信。EDA技术实践教程。:清华大学,20063宋。VHDL实用教程M。:电子科技大学,2000年附录1.8-3优先级编码器的VHDL程序代码;-程序名:priority.vhd图书馆

温馨提示

  • 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
  • 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
  • 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
  • 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
  • 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
  • 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
  • 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

最新文档

评论

0/150

提交评论