




已阅读5页,还剩46页未读, 继续免费阅读
版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领
文档简介
南京信息职业技术学院毕业设计论文作者 吴芳 学号 10722P05 系部 电子信息工程系 专业 电子信息工程技术 题目 基于VHDL的交通控制灯的设计 指导教师 王二 评阅教师 王二 完成时间: 2010 年 4 月 28日 毕业设计(论文)中文摘要基于VHDL的交通控制灯的设计摘要:随着社会经济的发展,城市交通问题越来越引起人们的关注。城市交通控制系统是用于城市交通数据监测、交通信号灯控制与交通疏导的计算机综合管理系统,它是现代城市交通监控指挥系统中最重要的组成部分。随着城市机动车量的不断增加,许多大城市如北京、上海、南京等出现了交通超负荷运行的情况,因此,自80年代后期,这些城市纷纷修建城市高速道路,在高速道路建设完成的初期,它们也曾有效地改善了交通状况,可最终也没有充分发挥预期的作用。而我应用所学知识就交通控制系统中的一部分做了一个设计,即十字路口交通灯的设计。其中涉及主要的硬件有CPLD编程器件、发光二极管、和数码管等等,再者自己将所编程序(用VHDL编的)进行编译、仿真,然后下载到编程器件中进行运行。关键词:CPLD 交通灯 数码管 VHDL 毕业设计(论文)外文摘要Title : Design of traffic control light based on VHDL Abstract: Along with the social economy development, the municipal transportation question more and more arouses peoples interest. The municipal transportation control system is the computer integrated management system which is used in the municipal transportation data monitor, the street-traffic lights control and the transportation unblocks, it is the most important constituent in the modern municipal transportation monitoring command system. Along with the city vehicle quantity unceasing increase, many big cities like Beijing, Shanghai, Nanjing and so on had the transportation excess load movement situation, therefore, from the 80s later periods, these cities constructs the city speed-way in abundance, completes the initial period in the speed-way construction, they also once effectively improved the transportation condition. But it can not realize expected goals. Then, for a part of traffic control systems, I make a design by applying the knowledge which I studied. The main hardwares that is CPLD , LED and Digital display are used in this design. Besides that, I edit program with VHDL and make it to be compiled and simulated, I download it to CPLD at last.keywords: CPLD Traffic light Digital display VHDL目录1 引言12 CPLD简介12.1 发展历史及应用领域12.2 器件特点及其使用22.3 VHDL的概述32.4 VHDL的特点33 系统设计过程53.1 总体设计概要 53.2 交通灯原理分析54 系统模块设计及仿真64.1 顶层文件的设计64.2 紧急状态模块(Emergency)的设计7 4.3 消抖模块(Debounce)的设计84.4 分频模块(Frequency1) 的设计94.5 状态选择模块(StatusSelect)的设计 104.6 时间选择模块(TimeSelect)的设计124.7 译码显示模块(Display)的设计134.8 信号灯控制模块的设计14 4.9 系统程序仿真图20 结论20致谢21参考文献21 1 引言当今,红绿灯安装在各个道口上,已经成为疏导交通车辆最常见和最有效的手段。但这一技术在19世纪就已出现了。 1858年,在英国伦敦主要街头安装了以燃煤气为光源的红,蓝两色的机械扳手式信号灯,用以指挥马车通行。这是世界上最早的交通信号灯。1868年,英国机械工程师(纳伊特)在伦敦威斯敏斯特区的议会大厦前的广场上,安装了世界上最早的煤气红绿灯。它由两个红绿旋转式方形玻璃提灯组成,红色表示“停止”,绿色表示“注意”。1869年1月2日,煤气灯爆炸,使警察受伤,遂被取消。 电气启动的红绿灯出现在美国,这种红绿灯由红绿黄三色圆形的投光器组成,1914年始安装于纽约市5号大街的一座高塔上。红灯亮,表示“停止”,绿灯亮,表示“通行”。 1918年,又出现了带控制的红绿灯和红外线红绿灯。带控制的红绿灯,一种是把压力探测器安在地下,车辆一旦接近红灯便变为绿灯;另一种是用扩音器来启动红绿灯,司机遇红灯时按一下喇叭,就使红灯变为绿灯。红外线红绿灯当行人踏上对压力敏感的路面时,它就能察觉到有人要过马路。红外光束能把信号灯的红灯延长一段时间,推迟汽车放行,以免发生交通事故。 信号灯的出现,使交通得以有效管制,对于疏导交通流量、提高道路通行能力,减少交通事故有明显效果。1968年,联合国道路交通和道路标志信号协定对各种信号灯的含义作了规定。绿灯是通行信号,面对绿灯的车辆可以直行,左转弯和右转弯,除非另一种标志禁止某一种转向。左右转弯车辆都必须让合法地正在路口内行驶的车辆和过人行横道的行人优先通行。红灯是禁行信号,面对红灯的车辆必须在交叉路口的停车线后停车。黄灯是警告信号,面对黄灯的车辆不能越过停车线,但车辆已十分接近停车线而不能安全停车时可以进入交叉路口。 2 CPLD/VHDL简介2.1 CPLD发展历史及应用领域CPLD(Complex Programmable Logic Device)是Complex PLD的简称,一种较PLD为复杂的逻辑元件。CPLD是一种用户根据各自需要而自行构造逻辑功能的数字集成电路。其基本设计方法是借助集成开发软件平台,用原理图、硬件描述语言等方法,生成相应的目标文件,通过下载电缆将代码传送到目标芯片中,实现设计的数字系统。20世纪70年代,最早的可编程逻辑器件-PLD诞生了。其输出结构是可编程的逻辑宏单元,因为它的硬件结构设计可由软件完成(相当于房子盖好后人工设计局部室内结构),因而它的设计比纯硬件的数字电路具有很强的灵活性,但其过于简单的结构也使它们只能实现规模较小的电路。为弥补PLD只能设计小规模电路这一缺陷,20世纪80年代中期,推出了复杂可编程逻辑器件-CPLD。目前应用已深入网络、仪器仪表、汽车电子、数控机床、航天测控设备等方面。2.2 可编程逻辑器件特点及其使用它具有编程灵活、集成度高、设计开发周期短、适用范围宽、开发工具先进、设计制造成本低、对设计者的硬件经验要求低、标准产品无需测试、保密性强、价格大众化等特点,可实现较大规模的电路设计,因此被广泛应用于产品的原型设计和产品生产(一般在10,000件以下)之中。几乎所有应用中小规模通用数字集成电路的场合均可应用CPLD器件。CPLD器件已成为电子产品不可缺少的组成部分,它的设计和应用成为电子工程师必备的一种技能。 CPLD是一种用户根据各自需要而自行构造逻辑功能的数字集成电路。其基本设计方法是借助集成开发软件平台,用原理图、硬件描述语言等方法,生成相应的目标文件,通过下载电缆(“在系统”编程)将代码传送到目标芯片中,实现设计的数字系统。 这里以抢答器为例讲一下它的设计(装修)过程,即芯片的设计流程。CPLD的工作大部分是在电脑上完成的。打开集成开发软件(Altera公司 Max+pluxII)画原理图、写硬件描述语言(VHDL,Verilog)编译给出逻辑电路的输入激励信号,进行仿真,查看逻辑输出结果是否正确进行管脚输入、输出锁定(7128的64个输入、输出管脚可根据需要设定)生成代码通过下载电缆将代码传送并存储在CPLD芯片中。7128这块芯片各管脚已引出,将数码管、抢答开关、指示灯、蜂鸣器通过导线分别接到芯片板上,通电测试,当抢答开关按下,对应位的指示灯应当亮,答对以后,裁判给加分后,看此时数码显示加分结果是否正确,如发现有问题,可重新修改原理图或硬件描述语言,完善设计。设计好后,如批量生产,可直接复制其他CPLD芯片,即写入代码即可。如果要对芯片进行其它设计,比如进行交通灯设计,要重新画原理图、或写硬件描述语言,重复以上工作过程,完成设计。这种修改设计相当于将房屋进行了重新装修,这种装修对CPLD来说可进行上万次。2.3 VHDL概述自1987年VHDL(Very High Integrated Circuit Hardware Description Language 即超高速集成电路硬件描述语言),被定为IEEE标准IEEE-STD-1076以来,VHDL已被工业界广泛认可,并取得了巨大成功,成为数字系统设计和存档的重要工具,极大的提高了数字系统的设计水平和效率。VHDL主要用于描述数字系统的结构,行为,功能和接口。除了含有许多具有硬件特征的语句外,VHDL的语言形式和描述风格与句法是十分类似于一般的计算机高级语言。VHDL的程序结构特点是将一项工程设计,或称设计实体(可以是一个元件,一个电路模块或一个系统)分成外部(或称可是部分,及端口)和内部(或称不可视部分),既涉及实体的内部功能和算法完成部分。在对一个设计实体定义了外部界面后,一旦其内部开发完成后,其他的设计就可以直接调用这个实体。这种将设计实体分成内外部分的概念是VHDL系统设计的基本点。2.4 VHDL的特点VHDL 语言能够成为标准化的硬件描述语言并获得广泛应用 , 它自身必然具有很多其他硬件描述语言所不具备的优点。归纳起来 ,VHDL 语言主要具有以下优点:(1) VHDL 语言功能强大 , 设计方式多样 VHDL 语言具有强大的语言结构, 只需采用简单明确的VHDL语言程序就可以描述十分复杂的硬件电路。同时, 它还具有多层次的电路设计描述功能。此外 ,VHDL 语言能够同时支持同步电路、异步电路和随机电路的设计实现, 这是其他硬件描述语言所不能比拟的。VHDL 语言设计方法灵活多样 , 既支持自顶向下的设计方式, 也支持自底向上的设计方法; 既支持模块化设计方法, 也支持层次化设计方法。 (2) VHDL 语言具有强大的硬件描述能力 VHDL 语言具有多层次的电路设计描述功能,既可描述系统级电路 , 也可以描述门级电路;描述方式既可以采用行为描述、寄存器传输描述或者结构描述,也可以采用三者的混合描述方式。同时,VHDL 语言也支持惯性延迟和传输延迟,这样可以准确地建立硬件电路的模型。VHDL 语言的强大描述能力还体现在它具有丰富的数据类型。VHDL 语言既支持标准定义的数据类型,也支持用户定义的数据类型,这样便会给硬件描述带来较大的自由度。 (3) VHDL 语言具有很强的移植能力 VHDL 语言很强的移植能力主要体现在: 对于同一个硬件电路的 VHDL 语言描述 , 它可以从一个模拟器移植到另一个模拟器上、从一个综合器移植到另一个综合器上或者从一个工作平台移植到另一个工作平台上去执行。 (4) VHDL 语言的设计描述与器件无关 采用 VHDL 语言描述硬件电路时, 设计人员并不需要首先考虑选择进行设计的器件。这样做的好处是可以使设计人员集中精力进行电路设计的优化, 而不需要考虑其他的问题。当硬件电路的设计描述完成以后 ,VHDL 语言允许采用多种不同的器件结构来实现。(5) VHDL 语言程序易于共享和复用VHDL 语言采用基于库 ( library) 的设计方法。在设计过程中 , 设计人员可以建立各种可再次利用的模块 , 一个大规模的硬件电路的设计不可能从门级电路开始一步步地进行设计 , 而是一些模块的累加。这些模块可以预先设计或者使用以前设计中的存档模块, 将这些模块存放在库中 , 就可以在以后的设计中进行复用。由于 VHDL 语言是一种描述、模拟、综合、优化和布线的标准硬件描述语言 , 因此它可以使设计成果在设计人员之间方便地进行交流和共享, 从而减小硬件电路设计的工作量, 缩短开发周期。3 系统设计过程3.1 总体设计概要根据交通控制器的功能与要求,将其总体电路分为Frequency1模块,Emergency模块,Debounce模块,StatusSelect模块,TimeSelect模块,Display模块,信号灯控制模块,总体设计图1如下:voice蜂鸣器状态选 择 分频器1MHZCLK控制器LED显示1HZ紧急按键紧急状 态按键消抖动显示译码计时器数码管 图1 系统整体设计框图东西方向和南北方向各使用4个LED显示,红黄绿各代表红黄绿灯,绿灯和另一个红灯同时亮时为左转时间。东西方向和南北方向计时均为2位数,共需要4个LED七段数码管显示。由于为共阴极控制,输出一个catn信号控制选择数码管显示,num_out信号输出显示的内容。增加一个voice输出,控制紧急状态下的蜂鸣器。3.2 交通灯原理分析东西方向各有一组红,黄,绿灯用于指挥交通,红,黄,绿的持续时间分别为25s,5s,20s 。当有紧急情况(如消防车)时,两个方向均为红灯亮,计时停止,当特殊情况结束后,控制器恢复原来状态,正常工作。两组数码管,以倒计时方式显示两个方向允许通行或禁止通行的时间。当BTN=1时,进入紧急状态,LED输出为“100100”,即南北、东西向均为红灯亮。当BTN=0时,交通灯即开始了正常工作。BTN=0时,经过20秒,LED由B“100010”变为“100001”,再经过5秒,LED变为B“010100”,再经过20秒,LED变为B“001100”,再经过5秒,LED变为B“100010”.如此循环下去。当BTN=1时跳变到BTN=0时,数码管继续计时,恢复正常工作状态。南北、东西方向的红绿灯按表一、表二变化。表一 交通灯状态转换表东西(A)方向红灯红灯绿灯黄灯25秒20秒5秒南北(B)方向绿灯黄灯红灯红灯20秒5秒25秒表二 交通灯状态转换表BTNLED备注1100100长时间亮红灯0状态1:100010持续20秒,转到状态2状态2:100001持续5秒,转到状态3状态3:010100持续20秒,转到状态4状态4:001100持续5秒,转到状态1注:LED的六位分别对应东西方向(A方向)的红绿黄和南北方向(B方向)的红绿黄。1表示亮,0表示灭。4 系统模块设计及仿真 4.1 顶层文件的设计 顶层原理图设计可以依据系统框图进行,由Frequency1模块,Emergency模块,Debounce模块,StatusSelect模块,TimeSelect模块,Display模块,信号灯控制模块七部分组成。在VHDL设计中,采用自顶向下的设计思路。顶层模块中,根据硬件设计,设置如下端口:外部时钟信号:Clk紧急状态按键:Key东西方向状态灯:r1,y1,g1,t1南北方向状态灯:r2,y2,g2,t2蜂鸣器控制:voice数码管显示信号:num_out数码管共阴极控制:catn其顶层原理图如图2所示:图2 顶层原理图4.2 紧急状态模块(Emergency)的设计Emergency模块:紧急情况控制信号是采用按键的输入方式,当按键按下时候,由Emergency模块接收按键信息并输出信号给其它模块。输入端口:BTN_in接收按键信息输出端口:BTN_out输出紧急信号library ieee;use ieee.std_logic_1164.all;entity Emergency is port(BTN_in:in std_logic;-定义接收按键信息,输出紧急信号端口BTN_out:out std_logic);end Emergency;architecture Emergency_arc of Emergency isbeginprocess(BTN_in)variable temp: std_logic; -定义变量tempbeginif BTN_inevent and BTN_in=1 then -如有紧急信号时,temp进行取非temp:= not temp; end if;BTN_out=temp; -把temp赋给BTN_outend process;end;4.3 消抖模块(Debounce)的设计Debounce模块,由于紧急控制采用BTN按键,其产生时刻和持续时间的长短是随机不定的,且存在因开关簧片反弹引起的电平抖动现象,因此必须安排一个消抖和同步化电路模块,以保证系统能捕捉到输入脉冲。输入端口:key按键信息,clk时钟信号输出端口:key_out消抖后信号library ieee;use ieee.std_logic_1164.all;entity Debounce isport(key,clk: in std_logic;-定义输入,输出端口及时钟信号key_out: out std_logic);end Debounce;architecture Debounce_arc of Debounce issignal temp1,temp2: std_logic;beginprocess(key,clk)beginif clkevent and clk=0 then-时钟下降沿后,把temp1赋给temp2temp2=temp1; 把key赋给temp1temp1=key;end if;end process;key_out=temp1 and (not temp2) and clk;-消抖输出end;4.4 分频模块(Frequency1) 的设计Frequency1模块,由于外部时钟信号clk的频率为1MHz,而实际需要的内部计时时钟频率为1Hz,需要一个分频电路。输入端口:clk外部时钟信号输出端口:clk_out分频后信号library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity Frequency1 isport(clk:in std_logic;-定义外部时钟信号和分频后信号clk_out:out std_logic);end Frequency1;architecture Frequency1_arc of Frequency1 isbeginprocess(clk)variable temp:integer range 0 to 999999;-定义变量temp的范围0到999999beginif(clkevent and clk=1)thenif(temp=999999)then-分频计数temp:=0;clk_out=0;elsetemp:=temp+1;clk_out-非紧急状态voicetemptemptemptemptemp-紧急状态voicetemptemptemptemptemp=000;end case;elsetemp=111;end if;end case;end if;seltime_out=timeh1; catntime_out=timel1; catntime_out=timeh2; catntime_out=timel2; catntime_out=0000; catnnum_outnum_outnum_outnum_outnum_outnum_outnum_outnum_outnum_outnum_outnum_out-非紧急状态case temp_color iswhen yellow=-黄灯亮tempr:=0;tempg:=0;tempy:=1;tempt:=0;case reset is-计时部分when 0 =-归零temp_h:=0000;temp_l:=0100;reset:=1;when others =-计时case temp_l iswhen 0000=temp_l:=1001;temp_h:=temp_h-1;when 0001=case temp_h iswhen 0000 =temp_h:=0000;temp_l:=0000;reset:=0;temp_color:=red;when others =temp_l:=0000;end case;when others=temp_l:=temp_l-1; end case;end case;when green=-绿灯亮tempr:=0;tempg:=1;tempy:=0;tempt:=0;case reset is-计时部分when 0 =-归零temp_h:=0000;temp_l:=1001;reset:=1;when others =-计时case temp_l iswhen 0000=temp_l:=1001;temp_h:=temp_h-1;when 0001=case temp_h iswhen 0000 =temp_h:=0000;temp_l:=0000;reset:=0;temp_color:=turn;when others =temp_l:=0000;end case;when others=temp_l:=temp_l-1; end case;end case;when red=-红灯亮tempr:=1;tempg:=0;tempy:=0;tempt:=0;case reset is-计时部分when 0 =-归零temp_h:=0010;temp_l:=0100;reset:=1;when others =-计时case temp_l iswhen 0000=temp_l:=1001;temp_h:=temp_h-1;when 0001=case temp_h iswhen 0000 =temp_h:=0000;temp_l:=0000;reset:=0;temp_color:=green;when others =temp_l:=0000;end case;when others=temp_l:=temp_l-1; end case;end case;when turn=-转弯tempr:=0;tempg:=1;tempy:=0;tempt:=1;case reset is-计时部分when 0 =-归零temp_h:=0000;temp_l:=1001;reset:=1;when others =-计时case temp_l iswhen 0000=temp_l:=1001;temp_h:=temp_h-1;when 0001=case temp_h iswhen 0000 =temp_h:=0000;temp_l:=0000;reset:=0;temp_color:=yellow;when others =temp_l:=0000;end case;when others=temp_l:=temp_l-1; end case;end case;end case;when others=-紧急状态tempr:=1;tempg:=0;tempy:=0;tempt:=0;end case;end if;-最后把temp_h,temp_l,tempr, tempg ,tempy ,tempt分别赋给timeh,timel,r,g,y,ttimeh=temp_h;timel=temp_l;r=tempr; g=tempg;y=tempy;t=tempt;end process;end ;4.9 系统程序仿真图结论通过参考和查阅各种书籍和网上资料,并运用自己所学的知识,最终完成了交通控制灯的设计。 对于EDA设计我感觉程序调试最重要,对软件、硬件熟悉是其次。我在编写完程序之后,编译查错最初有三十几个错误,有输入错误、语法错误。一遍一遍的编译查错,直到没有错误。必须注意工程名和实体名一致,不然一般会出错。在没有错误之后可以进行波形仿真。若与理想的不同,再查看程序,有无原理上的编辑错误或没有查出的输入错误。编译、仿真都通过时可以进行管脚配对,把程序输入芯片,在实物机上看结果,从显示中得出还需改正的地方,再去改程序。必须注意每改一次都要编译,重新输入。致谢 在本次论文设计过程中,魏欣老师对该论文从选题,构思到最后定稿的各个环节给予细心指引与教导,使我得以最终完成毕业论文的设计。在学习中,老师严谨的治学态度、丰富渊博的知识、敏锐的学术思维、精益求精的工作态度以及诲人不倦的师者风范是我终身学习的楷模,老师们高深精湛的造诣与严谨求实的治学精神将永远激励着我。这三年中还得到众多老师的关心和帮助。在此,谨向老师们致以衷心的感谢和崇高的敬意。最后,我要向百忙之中抽时间对本文进行审阅、评议和参与本人论文答辩的各位老师表示感谢。参考文献(1)潘松,黄继业.2006.EDA技术使用教程.北京:科学出版社。(2)黄任.2005.VHDL入门.解惑.经典实例.经验总结.北京:北京航空航天大学出版社。(3)徐志军,徐光辉.2002.CPLD/FPGA的开发与应用.北京:电子工业出版社。(4)褚振勇.FPGA设计与应用.西安:西安电子科技大学出版社。(5)顾斌.2004.数字EDA设计.西安:西安电子科技大学出版社。(6)曹汉芳.2004.数字电路与逻辑设计.武汉:华中科技大学出版社。 16大学本科生毕业设计(论文)撰写规范本科生毕业设计(论文)是学生在毕业前提交的一份具有一定研究价值和实用价值的学术资料。它既是本科学生开始从事工程设计、科学实验和科学研究的初步尝试,也是学生在教师的指导下,对所进行研究的适当表述,还是学生毕业及学位资格认定的重要依据。毕业论文撰写是本科生培养过程中的基本训练环节之一,应符合国家及各专业部门制定的有关标准,符合汉语语法规范。指导教师应加强指导,严格把关。1、论文结构及要求论文包括题目、中文摘要、外文摘要、目录、正文、参考文献、致谢和附录等几部分。1.1 题目论文题目应恰当、准确地反映论文的主要研究内容。不应超过25字,原则上不得使用标点符号,不设副标题。1.2 摘要与关键词1.2.1 摘要本科生毕业设计(论文)的摘要均要求用中、英两种文字给出,中文在前。摘要应扼要叙述论文的研究目的、研究方法、研究内容和主要结果或结论,文字要精炼,具有一定的独立性和完整性,摘要一般应在300字左右。摘要中不宜使用公式、图表,不标注引用文献编号,避免将摘要写成目录式的内容介绍。1.2.2 关键词关键词是供检索用的主题词条,应采用能覆盖论文主要内容的通用技术词条(参照相应的技术术语标准),一般列35个,按词条的外延层次从大到小排列,应在摘要中出现。1.3 目录目录应独立成页,包括论文中全部章、节的标题及页码。1.4 论文正文论文正文包括绪论、论文主体及结论等部分。1.4.1 绪论绪论一般作为论文的首篇。绪论应说明选题的背景、目的和意义,国内外文献综述以及论文所要研究的主要内容。文管类论文的绪论是毕业论文的开头部分,一般包括说明论文写作的目的与意义,对所研究问题的认识以及提出问题。绪论只是文章的开头,不必写章号。毕业设计(论文)绪论部分字数不多于全部论文字数的1/4。1.4.2 论文主体论文主体是论文的主要部分,要求结构合理,层
温馨提示
- 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
- 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
- 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
- 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
- 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
- 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
- 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。
最新文档
- 2025年汽车行业智能驾驶技术与智能汽车市场前景研究报告
- 2025年绿色交通行业绿色交通技术与城市交通规划研究报告
- 2025年食品饮料行业食品安全与饮食健康研究报告
- 2025年人才培养行业教育变革与职场需求研究报告
- 2025年消化内科诊断治疗常见问题模拟测试卷答案及解析
- 2025年电商物流行业电商物流体系建设与电商物流快递配送研究报告
- 2025年医疗保健行业智慧医疗服务创新研究报告
- 2025年生物科技行业生物制药技术创新与产业发展研究报告
- 2025年心血管病学术研究报告展示答案及解析
- 2025年互联网营销行业广告创新与消费者行为研究报告
- 2026中国电建集团成都勘测设计研究院有限公司招聘笔试备考试题及答案解析
- 2025广西崇左凭祥市委宣传部招聘编外工作人员1人考试参考题库及答案解析
- 2025江西赣州南康赣商村镇银行招聘4人考试参考题库及答案解析
- 社保协议书模板6篇
- 企业安全生产责任书范本大全
- 工艺设备变更风险评估报告模板
- 红星照耀中国考试真题及答案
- 2025离婚起诉状民事诉状(离婚案件用)
- 前端Vue3项目实战教程
- 智算中心高性能计算系统设计方案
- 中央八项规定精神应知应会测试题有答案【夺分金卷】附答案详解
评论
0/150
提交评论