毕业设计(论文)-GPS系统采用伪码测距.doc_第1页
毕业设计(论文)-GPS系统采用伪码测距.doc_第2页
毕业设计(论文)-GPS系统采用伪码测距.doc_第3页
毕业设计(论文)-GPS系统采用伪码测距.doc_第4页
毕业设计(论文)-GPS系统采用伪码测距.doc_第5页
已阅读5页,还剩55页未读 继续免费阅读

下载本文档

版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领

文档简介

I 摘 要 GPS 系统采用伪码测距的原理,其采用的伪随机序列之一的 P 码具有很强的抗干 扰和保密性能,一直以来为军方所用。GPS 系统中 P 码的捕获通常是先捕获到 C/A 码, 然后利用 C/A 码调制的导航电文中的 HOW 所提供的 P 码信息对 P 码进行捕获。然而, C/A 码的码长短,码速率低易受干扰,因此很难通过 C/A 码来捕获到 P 码,直接捕获 P 码一直以来备受关注,产生 P 码并对其特性进行分析对进一步研究码的捕获有重要 意义。 这篇论文在 MAX+PLUS环境下,根据 ICD-200 设计了 P 码发生器,并进行了仿 真,对 GPS 星期的开始和结束进行了仿真验证。设计的 P 码发生器在开始和结束产生 的码序列是正确的。用 P 码对的导航电文进行了直接序列扩频。最后利用 MATLAB 对 部分 P 码进行了相关性验证。 关键词:GPS 信号;P 码;MAX+PLUS;仿真;相关 II Abstract The GPS system uses the pseudo-code to obtain the range. One of the pseudorandom noise codes used is p-code, which has very strong anti-jamming and secrecy. It has been widely used in the military. Traditionally, the GPS receiver locks onto the C/A code to extract the navigation data message. Then, starting from the HOW of the navigation data, acquisition is transferred to the P-code acquisition. However, because of short period and low chip rate, C/A code is easily disturbed. As a result it is very hard to use the C/A code to implement the p-code acquisition. The direct acquisition of p-code has been paid attention to generate p-code and analysis its characteristic. This will have an important significance for the deep research of p-code acquisition. The overall objective of this dissertation is to implement the design of p-code generator and simulator using the MAX+PLUS. As the result shows, the GPS week starting and ending codes generated by the designed generator are right. Then using the p-code implement the direct sequence spread spectrum to the navigation data. Finally, using the matlab verifies the correlation of part p-code. Key words: GPS signal; P code; MAX+PLUS; simulate; correlation III 目录 1 绪论.1 1.1 GPS 的发展.1 1.2 GPS 的组成.2 1.3 GPS 的特点.4 1.4 GPS 的应用.5 1.5 课题介绍.5 2GPS 的基本原理 .6 2.1 地心地球固连(ECEF)坐标系.6 2.2 GPS 伪码测距原理.6 2.2.1 伪距计算.7 2.2.2 用户位置计算.8 2.3 GPS 信号调制格式.8 2.3.1 GPS 的传输信号分类 .8 2.3.2 GPS 卫星信号的组成 .9 2.3.3 GPS 的导航电文 .10 2.4 码分多址.12 3MAX+PLUS软件开发系统简介 .13 3.1 设计输入.15 3.2 设计项目的编译.19 3.3 设计校验.25 4 GPS 信号 P 码的仿真.29 4.1 伪随机码的产生及特性分析.29 4.2 P 码的产生.31 4.3 P 码发生器仿真结果分析.33 4.3.1 P 码发生器初始状态的确定.33 4.3.2 P 码发生器的仿真.34 4.4 利用 P 码进行扩频.42 4.5 P 码的相关性验证.43 IV 致谢.45 参考文献.46 附录 A 英文原文.47 附录 B 英文翻译.52 1 1 绪论 1.1 GPS的发展 GPS 即(Global Position System)全球导航定位系统的缩写。现在,GPS 已经是 全运行的,而且满足 20 世纪 60 年代提出的最佳定位系统标准。这个系统向有适当接 收设备的全球范围用户提供精确,连续的三维位置和速度信息1。 GPS 由美国国防部研制和发展,1973 年开始方案论证,仿真试验,系统试验和设 备研制,历时 23 年,耗资 139 亿美元,1978 年 2 月 22 日成功地发射了第一颗 GPS 试 验卫星,并于 1994 年全部建成,投入使用。每颗卫星单向的向地面发射两个波段的载 波信号,载波信号频率分别为 1575.442 兆赫兹(L1 波段)和 1227.6 兆赫兹(L2 波段) , 调制方式为 BPSK 卫星上安装了精度很高的原子钟,以确保频率的稳定性,在载波上 调制有表示卫星位置的广播星历,用于测距的 C/A 码和 P 码,以及其它系统信息,能 在全球范围内,向任意多用户提供高精度的、全天候的、连续的、实时的三维测速、 三维定位和授时。 GPS 实施计划共分三个阶段: 第一阶段为方案论证和初步设计阶段。从 1973 年到 1979 年,共发射了 4 颗试验 卫星,研制了地面接收机及建立地面跟踪网。 第二阶段为全面研制和试验阶段。从 1979 年到 1984 年,又陆续发射了 7 颗试验 卫星,这一阶段称之为 BlockI 阶段。与此同时,研制了各种用途的接收机,主要是导 航型接收机,同时测地型接收机也相继问世。试验表明,GPS 的定位精度远远超过原 来的设计标准。利用粗码的定位精度几乎提高了一个数量级,达到 14m。由此证明, GPS 计划是成功的。 第三阶段为实用组网阶段。1989 年 2 月 4 日第一颗 GPS 工作卫星发射成功,宣 告了 GPS 系统进入工程建设阶段。这种工作卫星称为 BlockII 和 BlockIIA 卫星。这两 组卫星的差别是:BlockIIA 卫星增强了军事应用功能,扩大了数据存储容量;BlockII 卫星只能存储供 14 天用的导航电文(每天更新三次);BlockIIA 卫星能存储供 180 天用 的导航电文,确保在特殊情况下使用 GPS 卫星。实用的 GPS 网即(21+3)GPS 星座已经 建成,今后将根据计划更换失效的卫星。 2 1.2 GPS的组成 GPS全球卫星定位系统主要包括三大组成部分,即空间星座部分、地面监控部分 和用户设备部分。 图1.1 GPS系统的组成 1.GPS 空间星座部分 GPS 系统星座由 24 颗卫星组成,其中包括三颗备用卫星。工作卫星分布在 6 个轨 道面内,每个轨道面内分布有 4 颗卫星。卫星轨道面相对地球赤道面的倾角为 55,轨 道平均高度为 20,183Km,卫星运行周期为 11 小时 58 分。同时位于地平线以上的卫星 数目随时间和地点而异,最少为 4 颗,最多为 11 颗。GPS 卫星的空间配置,保证了在 地球上任何地方、任何时刻均至少可以同时观测到 4 颗卫星。 空间部分的三颗备用卫星,将在必要时根据指令代替发生故障的卫星,从而保证 GPS空间部分正常而高效地工作。每颗卫星装有2台铷钟和2台铯钟(一台工作三台备 用) ,为GPS卫星提供高精度的时间标准。GPS卫星的基本功能有: 1) 接收和储存由地面监控站注入的导航信息,接收并执行监控站的控制指令; 2) 卫星上的微处理机,进行必要的数据处理; 3) 通过星载高精度原子钟提供精密的时间标准; 4) 向用户发送导航和定位信息; 5) 在地面监控站的指令下,通过推进器调整卫星姿态和启用备用卫星。 2.地面监控系统(地面控制部分) GPS卫星的地面监控系统包括一个主控站、三个注入站和五个监测站。出于战略 考虑,它们全部位于美国本土。监测站的作用在于对GPS卫星进行连续的观测,采集 GPS 系统 空间部分用户地面监控部分 GPS 接收机 一个主控站 五个监测站 三个注入站 24 颗卫星 3 数据和监测卫星的工作状况,并收集当地的气象资料,然后把所有观测资料传送到主 控站以确定卫星的精密轨道。主控站设在科罗拉多斯平士(Colorado Springs) ,主控 站除协调和管理整个地面监控系统工作外,其主要任务包括:推算和编制各卫星的星 历、卫星钟差和大气层修正参数,并把这些数据传送到注入站;提供GPS卫星系统时 间标准;调整偏移轨道的卫星;启用备用卫星以代替失效的工作卫星。注入站有三个, 分别设在印度洋的迭哥加西亚(Diego Garcia) 、南太平洋的卡瓦加兰(Kwajalein) 、南 大西洋的阿松森岛(Ascencion) 。其主要任务是在主控站的控制下,将主控站推算和编 制的卫星星历、钟差、导航电文和其他控制指令注入到相应卫星的存储系统。整个 GPS地面监控部分,除主控站外均无人值守,各站之间用现代化的通讯系统联系,各 项工作高度自动化。 3.用户设备部分(GPS 信号接收机) GPS 信号接收机的任务是:能够捕获到按一定卫星高度截止角所选择的待测卫星 的信号,并跟踪这些卫星的运行,对所接收到的 GPS 信号进行变换、放大和处理,以 便测量出 GPS 信号从卫星到接收机天线的传播时间,解译出 GPS 卫星所发送的导航电 文,实时地计算出测站的三维位置,甚至三维速度和时间。 GPS卫星发送的导航定位信号,是一种可供无数用户共享的信息资源。对于陆地、 海洋和空间的广大用户,只要用户拥有能够接收、跟踪、变换和测量GPS信号的接收 设备,即GPS信号接收机。可以在任何时候用GPS信号进行导航定位测量。根据使用目 的的不同,用户要求的GPS信号接收机也各有差异。目前世界上已有几十家工厂生产 GPS接收机,产品也有几百种。这些产品可以按照原理、用途、功能等来分类。 静态定位中,GPS接收机在捕获和跟踪GPS卫星的过程中固定不变,接收机高精度 地测量GPS信号的传播时间,利用GPS卫星在轨的已知位置,解算出接收机天线所在位 置的三维坐标。而动态定位则是用GPS接收机测定一个运动物体的运行轨迹。GPS信号 接收机所位于的运动物体叫做载体(如航行中的船舰,空中的飞机,行走的车辆等)。 载体上的GPS接收机天线在跟踪GPS卫星的过程中相对地球而运动,接收机用GPS信号 实时地测得运动载体的状态参数(瞬间三维位置和三维速度)。 接收机硬件和机内软件以及GPS数据的后处理软件包,构成完整的GPS用户设备。 GPS接收机的结构分为天线单元和接收单元两大部分。对于测地型接收机来说,两个 单元一般分成两个独立的部件,观测时将天线单元安置在测站上,接收单元置于测站 4 附近的适当地方,用电缆线将两者连接成一个整机。也有的将天线单元和接收单元制 作成一个整体,观测时将其安置在测站点上。 GPS接收机一般用蓄电池做电源。同时采用机内机外两种直流电源。设置机内电 池 的目的在于更换机外电池时不中断连续观测。在用机外电池的过程中,机内电池自动 充电。关机后,机内电池为RAM存储器供电,以防止丢失数据。 近几年,国内引进了许多种类型的GPS测地型接收机。各种类型的GPS测地型接收 机用于精密相对定位时,其双频接收机精度可达5MM+1PPM.D,单频接收机在一定距 离内精度可达10MM+2PPM.D。用于差分定位其精度可达亚米级至厘米级。 目前,各种类型的GPS接收机体积越来越小,重量越来越轻,便于野外观测。GPS 和GLONASS兼容的全球导航定位系统接收机已经问世。 1.3 GPS的特点 GPS系统的特点是高精度、全天候、高效率、多功能、操作简便等。 1.定位精度高 应用实践已经证明,GPS相对定位精度在50km以内可达10-6,100-500km可达10- 7,1000km可达10-9。在300-1500m工程精密定位中,1小时以上观测的其平面位置误差 小于1mm,与ME-5000电磁波测距仪测定得边长比较,其边长较差最大为0.5mm,中误 差为0.3mm。 2.观测时间短 随着GPS系统的不断完善,软件的不断更新,目前,20km以内相对静态定位,仅 需15-20分钟;快速静态相对定位测量时,当每个流动站与基准站相距在15km以内时, 流动站观测时间只需1-2分钟,然后可随时定位,每站观测只需几秒钟。 3.测站间无须通视 GPS测量不要求测站之间互相通视,只需测站上空开阔即可,因此可节省大量的 造标费用。由于无需点间通视,点位位置可根据需要,可稀可密,使选点工作甚为灵 活,也可省去经典大地网中的传算点、过渡点的测量工作。 4.可提供三维坐标 经典大地测量将平面与高程采用不同方法分别施测。GPS可同时精确测定测站点 的三维坐标。目前GPS水准可满足四等水准测量的精度。 5 5.操作简便 随着GPS接收机不断改进,自动化程度越来越高,有的已达“傻瓜化”的程度;接收 机的体积越来越小,重量越来越轻,极大地减轻测量工作者的工作紧张程度和劳动强 度,使野外工作变得轻松愉快。 6.全天候作业 目前GPS观测可在一天24小时内的任何时间进行,不受阴天黑夜、起雾刮风、下雨 雪等气候的影响。 1.4 GPS的应用 最初设计GPS的主要目的是用于导航、收集情报等军事目的。但后来的应用开发 表明,GPS不仅可以达到上述目的,而且用GPS卫星信号能够进行厘米级甚至毫米级精 度的静态相对定位米级至亚米级精度的动态定位,亚米级至厘米级精度的速度测量 和毫微秒级精度的时间测量。 用GPS信号可以进行海、陆、空、地的导航,大地测量和工程测量的精密定位, 时间传递相速度测量等。在测绘领域,GPS定位技术已用于建立高精度的大地测量控 制网,测定地球动态参数;建立陆地及海洋大地测量基准,进行高精度海陆联测及海 洋测绘;监测地球板块运动状态和地壳形变;在工程测量方面,已成为建立城市与工 程控制网的主要手段:在精密工程的变形监测方面,它也发挥着极其重要的作用;同 时GPS定位技术也用于测定航空航天摄影瞬间相机的位置,可在无地而控制或仅有少 量地面控制点的情况下进行航测快速成图,引起了地理信息系统及全球环境遥感监测 的技术革命。 在日常生活方面是一个难以用数字预测的广阔的领域,手表式的GPS接收机,将 成为旅游者的忠实导游。GPS将和移动电话、传真机、计算机互联网对我们生活的影 响一样,人们的日常生活将离不开它。 1.5 课题介绍 本课题是基于 FPGA 的 GPS 信号 P 码的仿真,对 P 码从基础理论到仿真都作了详 细的介绍。具体的说,本文第一章简要介绍 GPS 的特点以及发展史;第二章主要介绍 GPS 导航定位原理以及常用的一些概念。第三章主要介绍了仿真软件 MAX+PLUS的 特点和使用方法;第四章详细介绍了在 MAX+PLUS环境下 GPS 信号 P 码发生器的 6 设计,并进行了仿真,验证它的正确性,用部分 P 码对导航数据进行了扩频,用 MATLAB 简单验证了部分 P 码的自相关性。 2 GPS 的基本原理 GPS 的基本定位原理是:卫星不间断地发送自身的星历参数和时间信息,用户接 收到这些信息后,经过计算求出接收机的三维位置,三维方向以及运行速度和时间信 息。 2.1 地心地球固连(ECEF)坐标系 为了计算 GPS 接收机的位置,使用叫做地心地球固连(ECEF)坐标的随地球而旋 转的坐标系更为方便。在这一坐标系中,更容易计算出接收机的纬度、经度和高度参 数,并将其显示出来。坐标系的具体描述为:ECEF 坐标系其 XY 平面与地球赤道平面 重合。X 轴指向 0 经度方向,而 Y 轴指向东经 90 方向。因此 X 和 Y 轴随着地球一起 旋转,在惯性空间中不再描述固定的方向。在这种 ECEF 系中,将 Z 轴选择为与赤道 平面正交而指向地理北极(亦即经线在北半球上汇聚处) ,这样便形成了右手坐标系。 2.2 GPS伪码测距原理 GPS使用20世纪60年代提出的最佳定位系统标准。系统利用单向到达时间(TOA一 Timo of Almanac)测距的概念,以高精度的星载原子频率标准作基准进行发射,而星载 原子频标是与内在的GPS系统时基准同步的。卫星采用码分多址(CDMA)的技术在两个 频率上广播测距码和导航数据,称为Ll(1575.42MHz)和L2(l 227.6MHz)o每颗卫星的发 射频率相同,但是使用不用的测距码。导航数据提供给接收机以确定卫星在发射信号 时的位置,而测距码能够使用户接收机确定信号的传输延时,从而确定卫星到用户的 距离。这种技术要求用户接收机也包含一个时钟。假设接收机时钟与卫星时钟同步, 那么只需要3个距离值就可以算出用户方位。但是由于本地始终与卫星时钟总是不同步 的,因此实际上需要测量到4颗卫星的TOA距离才能进行接收机的三维定位。 7 2.2.1 伪距计算 在图2.1中,矢量u 代表用户接收机相对于ECEF坐标系原点的位置,也就是用户所 在位置的坐标(xu,yu,zu),矢量r 表示用户到卫星的矢量,矢量s 代表卫星相对于坐标 原点的位置。xu,yu,zu的值未知,矢量s 可由星历数据计算,卫星距用户的矢量r 为 r su (2.1) r r r 图2.1 GPS卫星用户位置 通过测距码确定卫星到接收机距离的时间关系如图 2.2 所示。令 TS为信号离开卫 星时的系统时;Tu为信号到达用户接收机时的系统时;tu为接收机时钟与系统时之间 的偏移,C=光速。由于星历中的校正量可以使测距信号的发射与系统时同步,因此在 这里忽略卫星时钟与系统时之间的偏移。那么,一定有: 图 2.2 利用复现的码确定卫星码的传送时间 Ts=在信号离开卫星时卫星中的读数; Tu+tu=信号到达用户接收机时的用户接收机时钟的读数; GPS 卫星 s u 8 几何距离 () us rc TTc tsu (2.2) 伪距 () usuu c TTtrct (2.3) 2.2.2 用户位置计算 为了确定用户的三维位置(xu,yu,zu),和偏移量 tu,需要同时对 4 颗卫星进行跟 踪并获取其伪距,得到方程组 (2.4) iiu suct 展开得: (2.5) 2 22 1111 ()() uuuu xxyyzzct (2.6) 2 22 2222 ()() uuuu xxyyzzct (2.7) 2 22 3333 ()() uuuu xxyyzzct (2.8) 2 22 4444 ()() uuuu xxyyzzct 接下来可以通过数值算法对这个方程组进行求解,并得到用户的方位、速度等定 位信息。由此可见,使用 GPS 进行定位与导航的关键就是要快速、精确地实现卫星信 号的捕获。 2.3 GPS信号调制格式 2.3.1 GPS 的传输信号分类 被传输的信号包含四种不同的信息 第一种是频率为10.23MHZ的军用P码美国政府禁止把该码提供给民用用户。P码 接收机价格昂贵但它能利用另一个L波段通道1227.6MHz 补偿电离层折射误差。因为 信号通过电离层折射变慢且这种变化是大气环境及时间的函数若知道两个频率的延迟 时间就能对电离层折射引起的误差进行修正。码速高将使精度提高2倍左右,在噪声环 9 境中仍有良好性能适于军用。 第二种是C/A码频率为1.023MHZ用户用该码可以得到基本的定位信息。 第三种信息是一种调制在同一载波上的50位/秒的低频数据信号,利用此信息用 户可以计算出当卫星发射用于测量距离的信号时卫星所在的位置,每颗卫星约每小时 必须使该信息更新一次,获取此信息需要30秒到40秒的时间。 最后是载波相位信息,可以用来进行精确的大地测量和其它测量应用,利用载波频 率还可以获得精确的速度信息。 2.3.2 GPS 卫星信号的组成 GPS 卫星发射的信号,是将基带信息先经过伪随机码扩频,再对 L 波段的载波进 行双相调制(BPSK)而形成的信号。经过这样处理的信号,不仅能提高系统导航定位 精度,而且可以使系统具有极高的抗电子干扰能力和极强的保密性。 GPS 空间载体(GV)发射两个频率的载波,分别称为 L1主频率和 L2次频率。这些 载波频率由扩频码(每颗卫星独自的 PRN 序列)和导航数据电文所调制。所有卫星均在 这两个相同的载波频率上发射,但是由于 PRN 码调制不同,因此无明显的相互干扰, 各个 PRN 序列之间几乎是不相关的,因此各卫星的信号可以通过码分多址(CDMA)技 术区分并检测出来。 图 2.3 表示了卫星上产生 L1(154f0)和 L2(120f0)信号的方框图。L1频率由两个 PRN 码外加导航电文数据调制而成。这两种 PRN 码分别是 C/A 码(粗/截获码)和精码(P 码)。 而 L2频率在任何时候只是用一种调制方式,即 P 码+数据调制,直接 P 码调制或是 C/A 码+数据调制。 10 图 2.3 GPS 卫星信号的组成 根据图 2.3 可知,每秒 50bit 的数据首先同时与 C/A 码和 P 码叠加,然后才-进行 调制。叠加使用了异或操作,等效于两个 lbit 值的二进制相乘。由于每个数据历元用 时 20ms,也就是说一个数据历元中存在了 204600 个 P 码历元和 20460 个 C/A 码历元, 因此由于数据调制而引起的 PRN 码序列的相位改变次数相对并不频繁。图 2.4 描述了 GPS 信号的调制过程1。 图 2.4 GPS 信号数据调制 数据调制使用了二进制相移键控(BPSK)调制。在 L1上 P 码数据与 C/A 码数据 调制在相位上是正交的,因此调制在 L1 和 L2两个载波上的信号格式如下式 111 ( ) ( )( ) cos(2)( )( ) sin(2) L iPiLciL StAP tD tf tAG tD tf t (2.9) 22 ( ) ( )( ) cos(2) L iPiL StBP tD tf t (2.10) 其中 Ap、Ac和 Bp代表信号的幅度,Pi(t)表示第 i 颗卫星的 P 码,Gi(t)表示第 i 颗卫星 的 C/A 码,D(t)表示星历数据,fL1和 fL2分别表示两个波段的载波频率。 2.3.3 GPS 的导航电文 GPS 卫星导航电文是用户用来定位和导航的数据基础。它主要包括:卫星星历、 时钟改正、电离层时延改正、卫星工作状态信息以及由 CA 码转换到捕获 P 码的信 息。这些信息是以二进制码的形式按规定格式组成,并按帧播发给用户。因此又称之 11 为数据码(D 码)。 导航电文基本单位叫“帧”。一帧导航电文长 1500bit,含 5 个子帧。每个子帧分别 含有 10 个字,每个字含 30bit 电文故每一子帧共含 300bit 电文。电文的播发速率为 每秒 50bit,所以播发给一帧电文的时间需要 30s,而一子帧电文的持续揭发时间为 6S 在每帧导航电文中,各子帧电文的主要内容如图 2.5 所示,下面介绍各部分的基本 含义。 1遥测码teIemetfy word,TLW) 遥测码位于各子帧的开头,它用来表明卫星注入数据的状态。遥测码的 l 一 8bit 是同步码(10001001)。为各子帧编码脉冲提供一个同步起点,接收机将从该起点开始顺 序解译电文。第 922 队为遥测电文,包括地面监控系统注入数据时的状态信息、诊 断信息及其他信息。第 23 和第 24 比是连接码,第 2530 比为奇偶检查码,它用于发 现和纠正错误。 图 2.5 各帧导航电文的内容 2.转换码(hand over word ,HOW) 转换码位于每个子帧的第二个字码。其作用是提供帮助用户从捕获的 C/A 码转换 到捕获 P 码的 Z 计数。Z 计数位于转换码的第 l17bit,是从每周六周日零时起算的 时间计数。因此,当知道了 Z 计数,即知道了观测瞬间在 P 码周期中所处的准确位置, 这样便可迅速捕获 P 码。 转换码的第 18bit 表明卫星注入电文后是否发生滚动动量矩缺载现象;第 19bit 指 示数据帧的时间是否与子码 Xl 的钟信号同步;第 20 一 22bit 子帧识别标志;第 23 12 24bit 为连接码;第 2530bit 为奇偶检验码。 3.第一数据块 第一数据块是位丁第 1 子帧的第 310 字码,它的主要内容包括:标识码, 时延差改正;星期序号;卫星的健康状况;数据龄朗:卫星时钟改正系 数等3。 2.4 码分多址 GPS有24颗工作卫星运行在空间轨道上,世界各地用户可同时看到4颗11颗卫星。 所有的卫星都使用两个载频(f1=1575.42MHz,f2=1227.6MHz)发射信号,因此无法像 电视台、广播电台那样,利用不同的频道接收所需要的信号,每颗卫星任何时刻都在 不停地播发导航信号,故使得用户也不可能用时分的办法来选择需要的卫星进行观测。 这就是说,必须采取必要措施区分开不同卫星所发射的信号。GPS采取的是码分多址 技术。 所谓码分多址,就是针对不同的GPS卫星,预先指定使用不同结构的伪随机码。 当接收某颗卫星信号时,用户只要在接收机内产生与该卫星的伪码结构相同的本地跟 踪码,并让本地跟踪码移位,直到与卫星伪码对齐,即相关函数值为1。此时,对于其 他卫星的伪码,由于与跟踪码结构不同,故相关函数值很小。设Ps为要接收到的卫星 信号功率,Pj为其他卫星信号功率,接收机输出的“信号/干扰”功率之比为 (2.11) 当f=10.23MHz,F=50Hz时,k=2105;当f=1.023MHz时,k=2104。据此,可以将所 需要的GPS卫星信号捕获并一直跟踪接收。 从上述分析,GPS卫星信号采用伪随机码扩频技术可以起到以下作用。 (1)利用码分多址实现识别不同卫星,搜索卫星信号。 (2)作为测定卫星到接收机天线间距离的信号。 (3)传送导航电文。 (4)加强抗干扰能力,实现军事保密。 接收卫星信号功率 s P s jj fP k PFP A 其他卫星信号干扰功率 13 3 MAX+PLUS软件开发系统简介 MAX+PLUS(Multiple Array Matrix and Programmable logic User System )是 Altera 公司推出的一种集设计输入,处理与校验功能于一体的完全集成化,易学易用的可 编程逻辑设计软件.该软件允许设计人员自由选择设计进入的方法和工具,设计人员无须 了解器件内部的复杂结构,只需选择自己熟悉的设计方法和工具,就可进行设计输入。该 软件提供了一种真正与结构无关的可编程逻辑设计环境,它支持不同结构的器件,如 FLEX,MAX,及 CLASSIC 系列器件等。该软件可在多种平台上运行,并提供了丰富的设 计库可供设计者调用;该软件还具有开放核的特点,允许设计人员添加自己认为有价值的 宏功能设计模块,充分利用这些逻辑功能模块可大大减轻设计工作量。 MAX+PLUS软件设计包括设计输入,项目处理,项目校验及器件编程等四部分.如 图 3.1 所示 14 3.1 MAX+PLUS组成 MAX+PLUS软件设计流程如图 3.2 所示.设计输入可采用原理图输入,文本输入, 波形输入或第三方 EDA 工具生成的设计网表文件等输入方法。 图 3.2 MAX+PLUS软件设计流程 设计校验包括功能仿真,时序仿真和定时分析。功能仿真是在不考虑器件延时的 理想情况下仿真设计项目,以验证其逻辑功能的正确性。时序仿真是在考虑具体适配 器件的各种延时的情况下仿真设计项目,以确保在各种可能的条件下都有正确地响应。 定时分析可用来分析器件点到点的延时,确定器件引脚上的建立时间与保持时间,还 15 可计算时序逻辑的最高工作频率。 器件编程是用经过仿真确认的配置文件配置 CPLD 器件。 在线校验是对编程后的 CPLD 器件加入实际的激励信号进行测试,检查是否可完 成预定的功能。 上述任何一步出错,均需要回到设计输入阶段,改正错误,重新按设计流程进行设计。 3.1 设计输入 MAX+PLUS的设计输入方法包括图形输入,文本输入等。 1.MAX+PLUS的原理图输入 (1)启动 MAX+PLUS 双击 MAX+PLUS软件目录中的 MAX+PLUS图标,或选择 Windows 开始菜单 程序 Altera 组内的 MAX+PLUS项,该软件管理窗口即被打开。 (2)指定设计项目名称 在进行一个逻辑设计时,必须先确定设计的项目名称,并且要保证项目名与设计文 件名一致,以便 MAX+PLUS进行编译。 指定项目名称的步骤如下: 依次选中菜单项 file/project/name,出现 project name 对话框。 在 project name 对话框中,键入设计项目名称,如 p。 在 directories 栏中选择当前工作路径,例如选中pfinal 作为当前目录。如图 3.3 所示 16 图 3.3 MAX+PLUS指定项目名对话框 单击“OK”按钮,则 MAX+PLUS标题将显示新的项目名字。 (3)建立新的图形文件 选择 filenew,出现 new 对话框,如图 3.4 所示 图 3.4 MAX+PLUS的 NEW 对话框 在对话框中选 graphic editor file 项。 在对话框文件格式中选.gdf。 单击“OK”按钮,出现无名称图形编辑窗口。该窗口可通过点击编辑器标题条中 的缩放按钮,进行缩放。 (4)输入图元和宏功能符号 为实现不同的逻辑功能,MAX+PLUS提供了大量的可供设计者直接使用的图元和 宏功能符号库。如:含基本逻辑块电路的 prim 库;含有 74 系列的 inf 库;含参数化 17 模块,兆功能高级模块的 mega-lpm 库等。 在图形编辑窗口空白处单击右键,在出现的菜单中点 enter symbol 出现如图 3.5 所示的界面 图 3.5 符号输入对话框 在 symbol name 中输入所需的元件符号名,单击“OK”按钮,带红色边框的元件 符号显示在图形编辑窗口中。 按鼠标左键,可拖曳该符号到指定位置,然后释放鼠标左键。若想改变元件的 方向,先选定元件,然后右键选中 rotate 项,根据需要旋转角度,调整器件方向。若需 相同的元器件,可进行复制,在所需复制的符号上同时按下 ctrl 键和鼠标左键,拖鼠 标至一定位置再放开,即复制出该符号。 (5)连线 选择主菜单中的 options/line style,出现连线类型列表,选择连线类型。 将鼠标移到引脚,符号连线端口,鼠标变为“+”形状,允许划线。 按住鼠标左键,画线,画好后松开左键。 若需删除一根连线,则可用鼠标左键单击该连线,使其呈高亮显示,然后按 Del 键即可。 (6)为引脚和连线命名 为引脚命名:在引脚 PIN_NAME 处双击鼠标左键,然后输入指定的名字即可。 18 为引线命名:选中需要命名的引线,然后输入引线名。对于 n 位宽度的总线命 名,可采用n-1.0形式,其中单个信号可用 Q0,Q1,.,Qn-1 形式。 如果需要更改名称,可以在需要更改名称的地方双击鼠标左键,然后输入新名 称即可。 (7)保存文件并检查基本错误 选择菜单命令 file/project/save x1a_in :in std_logic_vector(11 downto 0); x1a_11 : out std_logic; x1a : out std_logic_vector(11 downto 0) ); end x1a_reg; architecture pro_x1a of x1a_reg is begin process(clk,res,load,x1a_in) variable temp : std_logic; variable buf_data : std_logic_vector(11 downto 0) :=001001001000; begin if ( clkevent and clk = 1 ) then if(res=1)then buf_data(11 downto 0) :=001001001000; elsif(load=1)then buf_data(11 downto 0) :=x1a_in; else temp := buf_data(5) xor buf_data(7) xor buf_data(10) xor buf_data(11); buf_data(11 downto 1) := buf_data(10 downto 0); buf_data(0) := temp; 38 end if; end if; x1a=buf_data; x1a_11 = buf_data(11); end process; end pro_x1a; 由于 P 码发生器的时钟周期为 10.23MHZ,一个 X1 历元的时间是 1.5s。这样即 使仿真从星期开始到一个 X1 历元结束的过程也要花很长时间,仿真一个星期的 P 码产 生过程就更加困难。因此我们只仿真一周的开始和一周的结束,这两种情况包括各模块 的暂停和恢复状态,验证这两个状态的正确性等同验证 P 码发生器整个过程的正确性。 在进行 P 码发生器的设置时,利用输入的矢量产生第一个码片,然后观察第 4091 个,409

温馨提示

  • 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
  • 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
  • 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
  • 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
  • 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
  • 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
  • 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

评论

0/150

提交评论