基于单片机的多功能定时器设计与实现_第1页
基于单片机的多功能定时器设计与实现_第2页
基于单片机的多功能定时器设计与实现_第3页
基于单片机的多功能定时器设计与实现_第4页
基于单片机的多功能定时器设计与实现_第5页
已阅读5页,还剩35页未读 继续免费阅读

下载本文档

版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领

文档简介

杭州电子科技大学本科毕业论文 目 录 1 引 言.1 2 概 述.2 2.1 定时开关电源插座系统概述2 2.2 本设计方案思路2 2.3 研发方向和技术关键3 2.4 主要技术指标3 3 总体设计.4 3.1 可控开关设计的选择4 3.2 时钟信号的实现6 3.3 译码方案的选取6 4 硬件设计.10 4.1 可控开关电路12 4.2 电平转换电路12 4.3 单片机系统电路14 4.4 显示电路16 5 软件设计.17 5.1 总体方案17 5.2 主程序流图17 5.3 中断模块说明18 6 制作与调试.19 6.1 硬件电路的布线与焊接19 6.2 调试20 6.3 改进与扩展20 7 结 论.21 致 谢.23 参考文献.23 附 录.24 杭州电子科技大学本科毕业论文 1 1.引言 随着电子技术和电源技术的发展,开关电源以体积小、重量轻、功率密度大、 集成度高、输出组合便利等优点而成为电子电路电源的首选。定时开关电源插座, 即可以定时打开或关掉电源的插座,这样既能省电又方便用户的个性化使用。 实现定时开关电源插座的关键是如何实现定时,人类最早使用的定时工具是 沙漏或水漏,但在钟表诞生发展成熟之后,人们开始尝试使用这种全新的计时工 具来改进定时器,达到准确控制时间的目的。于今定时器得到广泛应用,现在的 不少家用电器都安装了定时器来控制开关或工作时间;工业控制中常需要定时的 装置,输出和采集信号;在军事方面制成了定时炸弹,定时雷管。当酷暑或严寒 难耐时,人们需要合理的定时控制空调来节省有限的电能;如此等等。因此,我 们拟从这些方向作进一步的研究探索。 定时器有机械和电子两种,国外和国内都有非常大的市场。机械式采用同步 电机计时,成本低,但走时精度差、寿命短;电子式采用液晶显示,时间精度高、 寿命长,但操作复杂、成本高。特别是精度要求高的控制系统和数据采集系统, 更要求精确的定时操作。马来西亚产的新一代 HT 高精密可编程电子定时开关插 座由一体化可编程时钟集成电路和大功率继电控制电路组成,它可实现对各种没 有定时装置的电器的定时控制。国内的这类定时开关插座的产品有由杭州菱洋节 能设备有限公司生产的菱洋可编程多功能电子定时插座,它是一个以单片微处理 器为核心配合电子电路等组成的一个电源开关控制装置。 我国是一个人口大国,能源更是宝贵,而能源分析家和经济学家认为,中国 已成为全球浪费电力的大户。我国正在建设节约型社会,节约能源应该成为这一 重大举措的重中之重。基于此,本设计采用单片机定时功能应用在插座开关上, 主要从单片机和可控开关,来设计实现开关电源定时系统,使其准确显示定时指 示灯的亮灭,且能显示时钟精确到时分。该系统简单实用,操作简单,且定时器 不用时可以当普通电源插座用,既具备传统的电源插座的功能,又能达到节省能 源、优化资源的目的。以电热水器为例,我们所使用的电热水器,许多家庭为了 方便使用热水,让热水器 24 小时通电,其中很大部分电能将消耗在电热水器的 反复加热上。50 升(1500 瓦)的普通电热水器每 3 小时自动加热 30 分钟,每天 加热时间是 240 分钟,耗电 6 度,其中 4 度电是属于有效电耗,剩下的就是在反 复加热中耗去的电,属无效耗电。如果把电热水器的电源插头连接到自动开关插 座上只需用前通电,可实现节电 2 度,而且可以使热水在最高温度状态下投入使 用,大大提高了能量使用效率。 2 2 2 概 述 2.1 定时开关电源插座系统概述 本文设计的定时开关电源插座电路系统1主要是利用单片机 P89V51RD2FN 作为主控制元件,通过外围电路控制可控开关的通断以达到定时开、关的目的。 P89V51 具有体积小、功能强大、运行速度快、价格低廉等优点,非常适合制作 集成度较高的控制电路。通过键盘键入程序控制可控开关和译码器来实现数码管 的显示。主板电路包括 MCU P89V51 、键盘与显示、输入与输出口、可控开关 和稳压等电路组成。 2.2 本设计方案思路 本设计实现通过定时电路来控制电源插座开关的通断,和时钟电路的显示为 主要目的;以时钟信号的检测,信号控制,信号译码和数据显示为主要设计内容。 定时器是本设计系统中的重点,时间控制器(即定时器)既可以通过纯硬件实 现,也可以通过软硬件结合实现,根据时间控制器的核心部件秒信号的产生原 理,通常有四种形式,如下所述。 (1)采用石英钟专用芯片的实现形式 采用石英钟专用芯片的实现的时间控制器,具有实现简单、计时精度高的特 点。石英计时芯片比较多,常用的型号有 STP5512F、SM5546A 和 D60400 等。 如结合利用 5512F 的 2 秒输出信号作为秒信号电路的计数脉冲,可实现电子时钟。 (2)采用 NE555 时基电路的实现形式 采用 NE555 时基电路或其他振荡电路产生秒脉冲信号,作为秒加法电路的时 钟信号或微处理器的外部中断输入信号,可构成时间控制器。由 555 构成的秒脉 冲发生器电路2如图 1-1 所示。输出的脉冲信号 Vo 的频率 f=1.443/(Ra+2Rb) *C,可以通过调节这 3 个参数,使输出 Vo 的频率为精确的 1HZ。但这类定时器 精度低,脉冲周期由外接的电阻和电容决定,常用于旋转灯光控制等。 (3)采用单片机常用的时钟芯片 以前,通常采用并行的实时时钟芯片计时、EEPROM 作为存储器,但对一些 微小型智能控制设备而言,并行实时时钟芯片封装形式大,再加上 EEPROM,占 用扩展线多,使电路结构很难进一步简化。Dallas 公司生产的串行实时时钟芯片 DS13023具有实时时钟和静态 RAM,采用串行通信,可方便地与单片机接口。 杭州电子科技大学本科毕业论文 3 除了在工业控制中使用外,还可以应用到一般的时钟计数上。 (4)用软件来实现定时 通常利用单片机或多媒体或 PLC 内部的定时器,编写大量的源程序来设计, 常称为软件定时器。 电子定时器4可用一般数字电路搭建而成,一台四位数的定时器要用十多片 数字电路组成,电路结构复杂、体积庞大,而且功能有一定的局限性。在进行定 时电路设计时,如果需要定时的时间不是很精确且时间较短的话,往往采用 555 定 时集成电路来实现。然而,若需要定时的时间较长(如 1 小时以上)则采用专用的 集成电路定时器比较方便5,而且使用定时器专用集成电路所设计的应用电路比 较简单,同时调试也比较容易。本设计采用单片机作为主硬件电路,外围电路简 单,配合软件设计,使用其灵活的编程实现定时,译码和时间显示等,使定时器 插座可有更多的扩展功能选择。 2.3 研发方向和技术关键 (1)合理选取定时器方案,提高系统的精度; (2)交直流电压转换; (3)多路优先译码器的选取及扩展; (4)与微机连接进行程序的汇编输入,实现对定时功能的调试; (5)显示部分中数码管的四位一体共阴接法。 2.4 主要技术指标 (1)具有电子钟功能,显示为四位数 (2) 可设定定时起动(开始)时间与定时结束(关断)时间 (3)定时开始,指示灯亮;定时结束,指示灯灭 (4)定时范围可以选择 (5) 开关次数:2 次/天 (6) 时钟日差:2 秒/天 (7)工作温度范围:-1050 (8)工作条件:AC220V,10A,50Hz (9)使用范围:办公室电源开关、实验室电源开关等 (10)插头插座孔型:插头国标三扁型 4 4 3 总体设计 单片机虽然种类繁多,但每片单片机内部结构都大同小异,均由控制器、运 算器、存储器、输入端口、输出端口等组成。各个厂商制成了多种型号的单片机。 任何一种单片机不论功能如何强大,都是通过其 I/O 口来发辉作用的,用户可根 据所需来选择单片机的型号,引脚最少从 8 脚到近百脚的都有。本设计用单片机 设计的体积小巧的定时器来控制电源开关插座的通电和断电,并还能作为一台数 字钟使用实现时间显示。根据需要选用了一片 40 条引脚的 P89V512FN 单片机 6,属于飞利浦 80C51 系列单片机,带 64KB 闪存和 1024 字节 RAM 。并且 P89V51 系列单片机内部包含 64 位 FLASH 的 ISP(在线可编程系统)和 IAP(在应用编程)。其设计的几个基本模块如下图 31,包括:插头插座(孔型), 控制开关,电平转换器,单片机系统,显示电路部分。 三扁平 插 座 可控 开关 单片机 芯 片 LED 显示 AC/DC 变压器 键 盘 220V 50Hz 图 31 定时开关电源插座的设计原理框图 3.1 可控开关设计的选择 本设计中的定时操作是通过可控开关收到外部电路的控制信号后延时通断的。 其中延时实现方式分类一般按常规可分为以下几种:a、通电延时;b、接通延时; c、断电延时;d、断开延时;e、(间隔)定时;f、往复延时;g、星三角启动延 时;h、程序式延时。 杭州电子科技大学本科毕业论文 5 3.1.1 方案一 选用普通晶闸管又叫可控硅,一种以硅单晶为基本材料 P1N1P2N2 四层三端 器件,由于它特性类似于真空闸流管,所以国际上通称为硅晶体闸流管,简称可 控硅 T。又由于可控硅最初应用于可控整流方面所以又称为硅可控整流元件,简 称为可控硅 SCR。 在性能上,可控硅不仅具有单向导电性,而且还具有比硅整流元件(俗称 “死硅”)更为可贵的可控性。它只有导通和关断两种状态普通可控硅在电路中最 基本的用途就是可控整流。大家熟悉的二极管整流电路属于不可控整流电路。如 果把二极管换成可控硅,就可以构成可控整流电路。 3.1.2 方案二 继电器是一种电子控制器件,它具有控制系统(又称输入回路)和被控制系 统(又称输出回路),通常应用于自动控制电路中,它实际上是用较小的电流去 控制较大电流的一种“自动开关”。故在电路中起着自动调节、安全保护、转换电 路等作用。它是一种当输入量(电、磁、声、光、热)达到一定值时,输出量将 发生跳跃式变化的自动控制器件。 其中电磁继电器是在输入电路内电流的作用下,由机械部件的相对运动产生 预定响应的一种继电器。电磁继电器的工作原理和特性如下:电磁式继电器一般 由铁芯、线圈、衔铁、触点簧片等组成的。只要在线圈两端加上一定的电压,线 圈中就会流过一定的电流,从而产生电磁效应,衔铁就会在电磁力吸引的作用下 克服返回弹簧的拉力吸向铁芯,从而带动衔铁的动触点与静触点(常开触点)吸 合。当线圈断电后,电磁的吸力也随之消失,衔铁就会在弹簧的反作用力返回原 来的位置,使动触点与原来的静触点(常闭触点)吸合。这样吸合、释放,从而 达到了在电路中的导通、切断的目的。对于继电器的“常开、常闭”触点,可以 这样来区分:继电器线圈未通电时处于断开状态的静触点,称为“常开触点”; 处于接通状态的静触点称为“常闭触点”。 还可选用时间继电器,时间继电器是一种利用电磁原理和机械原理实现延时 控制的控制电器,一般可分为通电延时型和断电延时型两种类型。断电延时时间 继电器里有延时常开接点、延时常闭接点、瞬时常开接点和瞬时常闭接点。 其 原理如下: 当断电延时时间继电器的“线圈”断电开接点时, 延时常开接点 经过设定时间后断开 ;延时常闭接点经过设定时间后接通;瞬时常开接 点立刻断开; 瞬时常闭接点立刻接通。 3.1.3 方案选取 可控硅优点如下:无触点,开断无涌流,开端速度快,可以控制过零开断。 缺点:成本高,控制相对复杂,容量小,功耗大,发热严重;继电器优点:技术 6 6 成熟可靠,触点容量相对较大,成本低,几乎零功耗,发热量小。缺点:开断时 会产生涌流,由于反应稍慢,无法用于很精细开断控制电路中,如移向调压等。 综合考虑两种可控开关各自的优缺点,在本设计中最终是采用电磁继电器通 断主电路,主要是考虑到继电器结构简单,消耗电能少体积小,成本低,且控制 时动作快、可靠性好。这类继电器在制成电灯定时节电开关或电风扇的定时并调 速开关或电热器的定时并调温开关,或电灯的定时并调光开关或通用的定时并调 压插座都有广泛的应用。 3.2 时钟信号的实现 在单片机的内部 RAM 中,需要设置显示缓冲区,显示的时分秒值是从显示 缓冲区中取出的,在 RAM 中设置四个单元作为显示缓冲区,分别是 7AH、7BH、7CH。为使电路和原理叙述方便,我们这里不显示秒值,秒的进位 我们通过闪烁分值实现。这样我们一共有四位 LED 分别显示时和分值,同时时 钟都需要校准的。在程序中还需设置显示码表,要显示的数值通过查表指令将显 示用的真正码值送到 LED 上。我们用单片机 P89V51RD2FN7的 P1.5,P3.6 和 P3.7 这三个 I/O 口外接微动开关来实现时和分的校正,其中 P1.5 实现每按一次小时或 分值加 1,连续按下数值累计下去,实现时钟的校准。 时钟的最小计时单位是秒,但使用单片机定时器来进行计时,若使用 6.0MHz 的晶振,即使按工作方式 1 工作,最大的计时时间也只能到 131ms,所 以我们可把每个定时时间取 125ms,这样定时器溢出 8 次(125ms8=1000ms)就 得到最小的计时单位秒。而要实现 8 次计数用软件方法实现是轻而易举的。我们 使用定时器 1,以工作方式 1 工作,定时器进行 125ms 定时。采用中断方法进行 溢出次数的累计,当计满 8 次即得到 1 秒的计时。 一个时钟的计时累加,要实现分、时的进位,要用到多种进制,秒、分、时 中的进位是十进制,秒向分进位和分向时进位却是六十进制,而每天又有十二小 时制或二十四小时制,它们分别又是十二进制和二十四进制。从秒到分和从分到 小时可以通过软件累加和数值比较方法实现。 3.3 译码方案的选取 本设计显示电路可分为三大块:键盘电路,输入端译码电路和输出控制端数 码显示电路。显示电路端译码通过硬件译码或软件译码都可以实现。 3.3.1 方案一 硬件译码,即上面的三大显示模块和显示段码完全由硬件电路设计实现,具 体的硬件电路如下图 32。对于相应的本系统中单片机,我们可选用简化的 51 系列单片机 AT89C20517(20 管脚) ,显示电路主要由七段共阳显示译码器 74LS47、3 线8 线译码器 74LS138、4 个 PNP 型三极管和四个数码管组成。通 过 AT89C2051 的 P14P17 口将要显示字符的 BCD 码输出到 74LS47 的四个 杭州电子科技大学本科毕业论文 7 输人端,然后译码并输出相应的笔段来驱动 LED 数码管(共阳)。 图 32 硬件译码的电路实现 图 32 电路图中数码管的低电平段选信号由 P1 口的 P10P16 输出,其 真值表见下表 31。P17 输出秒闪烁信号,P3 口的 P30、P31 输出位选信 号给 74LS138。74LS138 被选中的端口输出低电平,PNP 三极管导通,对应的数 码管点亮。P32、P33、P34、P35 分别作“调时”、 “调分”、 “定时开”、 “定时 减”的功能按钮开关,P37 输出控制信号,使双向可控开关通电或断电,控制“输 出插座”接通或断开 220V 交流电,从而控制外接电器的工作状态。其中 A/D 转换 器采用宽工作电压,单输入通道,串行 I/O 接口 8 位 A/D 转换器 TLC548CP。 表 3.1 09 显示真值表 其中 P32、P33、P34、P35 分别作“调时”、“调分”、“定时开”、“定 8 8 时减”的功能按钮开关;P37 输出控制信号,使继电器线圈通电或断电,控制 “输出插座”接通或断开 220V 交流电,从而控制外接电器的工作状态。数码管选 用四位一体共阳接法,每个数码管由 7 段笔划组成,每段笔划由一只数码管点亮, 其管压降为 1.72.2V、电流 520 毫安。 LED 数码管显示采用动态扫描方式,见下图 33。即在某一时刻,只有一 个数码管被点亮。数码管的位选信号由 AT89C2051 的 P33P35 输出,并经 74LSl38 译码后通过三极管放大,以驱动相应的数码管。本设计译码电路选取 74LS138 译码集成芯片,其管脚分布如下图 34,用来驱动 4 个 LED,从功能表 上可以看出它的输出只有一个低电平,也就是可以用来用灌电流的方式进行驱动 LED,工作电压 Vcc=5V,输出可以直接连接 LED,没有带来不稳定因素,当然 实际中应该串接保护电阻,估计常用的 200-300 欧姆都可以。 图 33 LED 动态扫描电路 图 34 74LS138 的管脚分布图 从总的设计可以看出,单片机的控制输出是通过 P30P32 口完成的。 当程序开始时,这三个口的输出状态都是低电平,AT89C2051 通过程序查询三路 输出的 ON 或 OFF 状态预置时间是否已到,若时间到,则改变相应的输出状态, 以完成对外部电路的控制。 杭州电子科技大学本科毕业论文 9 3.3.2 方案二 软件译码,即上面的三大显示模块和显示段码完全由软件设计实现。 对于硬件译码来说,扩展多片的外部程序存储器采用多片的 ROM 扩展时,其 片选信号 CS 的处理方法若采用全硬件实现,优点是扩展的各个 EPROM 的地址空 间可以是连续的,能得到 64K 的完整空间;缺点是电路结构复杂,需附加译码器 电路,常用的如上面提到的 74138。 由于单片机本身具有较强的逻辑控制能力,采用软件译码并不复杂。其译码 逻辑可以随意编程设定,不受硬件逻辑限制,同时还能简化硬件电路结构。因此, 在单片机应用系统中使用非常广泛。 综上,本设计 LED 译码和显示模块就是采用软件译码实现,程序编写用 C 语 言。作为一种结构化的程序设计语言,C 语言的特点就是可以使你尽量少地对硬 件进行操作,具有很强的功能性、结构性和可移植性,常常被优选作为单片机系 统的编程语言。用 C 编写程序比汇编更符合人们的思考习惯,开发者可以摆脱与 硬件无必要的接触,更专心的考虑功能和算法而不是考虑一些细节问题,这样就 减少了开发和调试的时间。C 语言具有良好的程序结构,适用于模块化程序设计, 因此采用 C 语言设计单片机应用系统程序时,首先要尽可能地采用结构化的程序 设计方法,将功能模块化,由不同的模块完成不同的功能,这样可使整个应用系 统程序结构清晰,易于调试和维护。不同的功能模块,分别指定相应的入口参数 和出口参数,对于一些要重复调用的程序一般把其编成函数,这样可以减少程序 代码的长度,又便于整个程序的管理,还可增强可读性和移植性。 10 10 4 硬件设计硬件设计 本设计的硬件电路包括单片机 P89V512FN 电路、键盘输入与数码显示输出、 信号输入与输出口、三孔扁平插座,可控开关和稳压器等电路组成。具体主要有 三个模块:单片机控制数码显示模块;插座串接继电器模块;AC/DC5V 输出稳 压模块(如下图 41)。随着外加 220V/50HZ 的交流电加到插座的同时, AC/DC 实现电压交直流的转换,把 220V 的交流电变为 5V 的直流电用于 SRD 电 磁继电器的工作电压。可控开关装置中的电磁继电器8收到单片机高低脉冲电平 的变化相应做出吸合或断开的指令控制,从而控制插座电源的通断。而单片机软 件编程通过串口输入和 USB 接口主要实现键盘、LED 显示等各模块的功能,采 用 C 语言编程,来控制译码器译码以及数码管显示。本设计硬件部分电路图见下 图 42,最终完成的硬件实物图见附录 2。 其中本系统的核心单片机 MCU P89V512FN 为 40 脚 600MIL 封装,是 CMOS 型飞利浦 80C51 系列单片机,带有 2KB 闪存 E2PROM 型。该单片机除了 少了两个并口外,能兼容 MCS-51 系列单片机的所有功能,且具备体积小、功能 强、运行速度快等特点。该电路可通过单片机的 P37 口连接一个键盘电路来实 现对参数的人工自由设定,同时可通过串口连接 4 位 LED 数码管,以分别显示 小时、分钟和秒。系统定时启动是通过 P30 口完成的。程序开始时这三个口的 输出状态都是低电平,P89V512FN 通过程序查询 P30 口输出 ON 或 OFF 的状 态预置时间是否已到,如果已到时间,则改变相应的输出状态,从而完成对外部 电路的控制。 单片机控制 LED 模块 AC/DC 稳压模块 插座串接 继电器模块220V 输入P1.7 控制信号 220V 5V 输出供电 图 41 本设计的三大模块 杭州电子科技大学本科毕业论文 11 图 42 定时部分硬件电路图 如上图 4-2,上半部分是数码管显示电路;下半部分是由桥式整流二极管和 LM7805 组成的 AC/DC 稳压电路,将 220V 的交流电压整流,滤波后输出直流 5V 电 压用于单片机的工作电压;中间部分是由四个按扭开关和单片机相应管脚 (P1.4,P1.5,P3.6,P3.7)连接,分别对应 LED 时间显示模式控制(开关 SW1)、 操作控制(SW5)、执行加键(开关 SW2),执行减键(开关 SW3)。此外还有单 片机外接热敏电阻,复位键(开关 SW4)以及蜂鸣器可以用来实现温度测量和自 动温度报警。 12 12 4.1 可控开关电路 图 43 继电器结构图 继电器(relay)也是一种电门,但与一般开关不同,继电器并非以机械方式控 制,而是一种以电磁力来控制切换方向的电门。当线圈通电后,会使中心的软铁 核心产生磁性,将横向的摆臂吸下,而臂的右侧则迫使电门接点相接,使两接点 形成通路。本设计中选用继电器型号为 SRD-05VDC-SL-C,5 接脚,如上图 43。其中一边的两脚工作状态分别为衔铁动静触点闭合或断开(低压控制电路 时);额定工作电压,即继电器正常工作时线圈所需要的电压,本设计中选用的继 电器的额定工作电压为 5V 直流电压。利用直流电流触发并控制延时,在延时过 程中可不影响主电路而延时递增。 在本次设计过程中,将继电器与普通电源插座串接起来,三接点中间的那个 脚脚 4 接电源插座的火线,另外两接脚中接脚 3 接单片机的控制信号引出脚,另 一接脚 5 和控制信号引出脚连共地端。特别需要注意的是,在焊接继电器前要用 万用电表测试其五个管脚以确保正确连接。当接脚 3 和接脚 5 之间加 5V 电压时, 接脚 4 和接脚 2 导通,电源插座开关可正常工作;当接脚 3 和接脚 5 之间电压为 0 时,接脚 4 和接脚 1 导通,电源插座开关不工作,从插座正常工作到不工作的 这段时间即为定时操作,可通过软件编程设置定时(闹铃)程序实现。 4.2 电平转换电路 4.2.1 LM7805 稳压电路 在不同的数字系统中,其电平标准是不同的。该系统中就包括了 220V 交流 输入和 5V 的 TTL 电平标准,要实现两个标准的正常通信,必须进行电平转换。 该系统采用使用简单的 LM7805 芯片。 杭州电子科技大学本科毕业论文 13 如图 44 所示电路为输出电压+5V、输出电流 1.5A 的稳压电源。它由电源 变压器 B,桥式整流电路 D1D4,滤波电容 C1、C3,防止自激电容 C2、C3 和 一只固定式三端稳压器 7805 极为简捷方便地搭成的。 图 44 LM7805 稳压电路 220V 交流市电通过电源变压器变换成交流低压,再经过桥式整流电路 D1D4 和滤波电容 C1 的整流和滤波,在固定式三端稳压器 LM7805 的 Vin 和 GND 两端形成一个并不十分稳定的直流电压(该电压常常会因为市电电压的波动 或负载的变化等原因而发生变化)。此直流电压经过 LM7805 的稳压和 C3 的滤波 便在稳压电源的输出端产生了精度高、稳定度好的直流输出电压。本稳压电源可 作为 TTL 电路或单片机电路的电源。三端稳压器是一种标准化、系列化的通用线 性稳压电源集成电路,以其体积小、成本低、性能好、工作可靠性高、使用简捷 方便等特点,成为目前稳压电源中应用最为广泛的一种单片式集成稳压器件。注 意问题: 17905 的引脚定义,5V 输出电压上电容的方向(不过本设计只取5V 即可) ; 27905 空载时测量输出在 6V 左右。加上负载,输出正常; 37805 驱动电流可达 1A。实际测量时运行时电流 200300mA,7805 会发 热,温度有 50 度左右,布线时该器件的摆放应考虑散热。 4.2.2RS232 电平转换电路 由于本设计中的单片机电路要用到串口输入,而电脑串口 RS232 电平是- 10V,+10V,P89V51 单片机应用系统的信号电压是 TTL 电平 0,+5V,故需进行 电平转换。 本设计采用 MAX232EPE9进行电平转换,该产品是由德州仪器公司(TI)推 出的一款兼容 RS232 标准的芯片。该器件包含 2 驱动器、2 接收器和一个电压发 生器电路提供 TIA/EIA-232-F 电平。该器件符合 TIA/EIA-232-F 标准,每一个接 14 14 收器将 TIA/EIA-232-F 电平转换成 5-V TTL/CMOS 电平;每一个发送器将 TTL/CMOS 电平转换成 TIA/EIA-232-F 电平。该芯片单 5V 电源工作,特点是低电 源电流,典型值是 8mA。如下图 44,为 MAX232 双串口的连接图,可以分别接 单片机的串行通信口或者实验板的其它串行通信接口。 图 45 RS232 双串口连接图 4.3 单片机系统电路 4.3.1 单片机 P89V51 简介 单片微型计算机作为微型计算机的一个分支,于今它已成为今天工业控制领 域、通讯设备、信息处理以及日常生活中最广泛使用的计算机。应用方面,本设 计中涉及的电子定时器也可用一般数字电路搭建而成,一台四位数的定时器要用 十多片数字电路组成,电路结构复杂、体积庞大,而且功能有一定的局限性。但如 用单片机制作定时器10,外围电路简单,用其灵活的编程,使定时器可有更多的 功能选择。 本设计中的单片机芯片 P89V51RD2FN 是由飞利浦公司生产的,属于 80C51 系列单片机的一类。它为 40 管脚,工作电压为 5V,片内有振荡器和时钟电路,时 钟电路的频率范围从 0 兆赫至 40 兆赫,4 个 8 位并行 I / O 口,3 个 16 位定时器 /计数器,8 个中断源与四个优先级,1 个全双工串行口(SIO/UART),内置 1 个布尔处理器和 1 个布尔累加器(Cy),内含 64KB 的单晶片 Flash 的 ISP(在线可编程系统)和 IAP(在应用编程),可应用于设计可编程看门狗定 时器。芯片管脚图见下图 46,具体的各管脚功能介绍见附录 1。 杭州电子科技大学本科毕业论文 15 图 4-6 P89V51RD2FN 信号引脚图 4.3.2 P89V51RD2 的时钟电路 时钟电路是用于产生供单片机各部分同步工作的时钟信号。具体的产生有两 种方法,如下图 47,一是用单片机内部的石英晶体振荡器外接电容构成振荡 电路;二是从外部输入时钟信号。本设计时钟电路采用的是第一种方法。 P89V51RD2 的一个显著的特点是它有两种时钟模式(X1 模式和 X2 模式)。X1 模式下一个机器周期时间为 12 个时钟周期(即晶振频率 fosc 的倒数),而在 X2 模式下一个机器周期时间为 6 个时钟周期,从而可以加速器件的运行速度。时钟 加倍模式只可用于加倍内部系统时钟和内部 Flash 存储器(即 EA=1)。在访问外 部存储器和外围器件时要特别小心,还要注意晶振的输出(XTAL2)是不能加倍 的。且时钟加倍模式可通过外部编程器或 IAP 来实现。当该模式被选择时,FST 寄存器的 EDC 位用来指示 6 时钟模式。此时 FSTFlash 状态寄存器的位分配 (地址:B6H),不可位寻址;复位值:xxxxx0xxB。如下图 48。 16 16 图 47 时钟电路的两种实现 (时钟电路的参数:频率范围 040MHZ;C1,C2 2030pF) 图 4-8 X2 模式下的位分配 4.4 显示电路 用单片机驱动 LED 数码管11有很多方法,按显示方式分,有静态显示和 动 态(扫描)显示,按译码方式可分硬件译码和软件译码之分。静态显示就是显示 驱动电路具有输出锁存功能,单片机将所要显示的数据送出后就不再管,直到下 一次显示数据需要更新时再传送一次新数据,显示数据稳定,占用很少的 CPU 时间;动态显示需要 CPU 时刻对显示器件进行数据刷新,显示数据有闪烁感, 占用的 CPU 时间多。这两种显示方式各有利弊:静态显示虽然数据稳定,占用 很少的 CPU 时间,但每个显示单元都需要单独的显示驱动电路,使用的硬件较 多;动态显示虽然有闪烁感,占用的 CPU 时间多,但使用的硬件少,能节省线 路板空间。硬件译码就是显示的段码完全由硬件完成,CPU 只要送出标准的 BCD 码即可,硬件接线有一定标准;软件译码是用软件来完成硬件的功能,硬件 简单,接线灵活,显示段码完全由软件来处理,是目前常用的显示驱动方式。本 设计就采用软件译码来实现,且单片机驱动数码管的显示采用动态扫描显示的方 式。 杭州电子科技大学本科毕业论文 17 5 软件设计 5.1 总体方案 硬件电路一旦决定,可根据电路的结构编制软件,并且决定它所应达到的功 能。本设计用了 4 位数码管及 4 个按键,根据既定的目标具有定时及时钟的功能。 程序应在定时器工作的同时也要启动时钟的时钟工作。 该系统显示电路部分的控制信号检测与数据传送部分,涉及的软件部分较多, 主要是 P89V51RD2FN 单片机12数据串接口通信及通信协议的程序设计。本设计 中用定时芯片制作定时器的关键是从 P89V51 芯片 P1.7 端口引出控制信号,随着 P1.7 高低电平的变化,通过键盘键入程序控制实现继电器的吸合和上扬,来控制 电源插座通断以实现定时控制目的。即当继电器接脚 3 和接脚 5 之间加来自 P1.7 端口的 5V 电平信号时,接脚 4 和接脚 2 导通,电源插座开关可正常工作;当键 入定时程序控制定时后,接脚 3 和接脚 5 之间电平信号为 0 时,接脚 4 和接脚 1 导通,电源插座开关关闭。此外如要扩展功能,采用单片机 C 语言编程可实现时 间显示、时间校准、温度显示和温度上限报警、定时(闹钟)功能、跑表等各模 块。 对于 P89V51 的程序设计,由于所需实现的功能较简单,采用 C 语言编译形 式。编译器采用 Keil uVision2 13。Keil uVision2 标准 C 编译器为 8051 微控制器 的软件开发提供了 C 语言环境,同时保留了汇编代码高效,快速的特点。C51 编 译器的功能不断增强,使你可以更加贴近 CPU 本身,及其它的衍生产品。Keil 编译器可为人们提供单一而灵活的开发环境,C51 已被完全集成到 Keil 的集成开 发环境中,这个集成开发环境包含:编译器,汇编器,实时操作系统,项目管理 器,调试器,Keil uVision2 可为它们提供单一而灵活的开发环境。 5.2 主程序流图 本系统的主程序工作过程是首先循环进行四个数码管的扫描显示14 (DISPLY 段),然后比较所有预置时间(COMP 段)是否与当前时间相等,如相等则 转向相应处理程序。比较完成(或处理完成)后,再判断有无按键(PP2 段)按下,没 有则返回继续显示、比较、判断;有按键按下则转向相应的处理程序。按键转移 采用偏移量加表格跳转转移法(KEY 段)。预置时间比较则采用逐一比较法,即对 每一个预设的值都进行比较,如果相等,则进行相应的处理。在具体比较时 (COMP1 段),首先比较 TH 值,如不相等,则直接转出并置“时间到”标志 CCB 为 0,而如果 TH、TM、TS 全部对应相等,则置该标志为 1,其软件流程见下图 18 18 51 所示。 图 51 主程序流程图 图 52 中断子程序流程图 程序用 C 语言编写,定时和时钟计时信号由单片机内部定时器 T1 产生的毫 秒级信号,中断溢出后在 RAM 的 40H 单元中经多次累加输出 1 秒信号,作为计 时的基本计数单元。待显示的数据放在以下 RAM 单元中,(1)时钟部分:46H 小时十位数,45H小时个位数,44H分钟十位数,43H分钟个位数;(2)定时 部分:4AH分钟十位数,49H分钟个位数,48H秒十位数, 47H秒个位 数。通电后,程序初始化使 4BH 单元被置 1,进入了同时打开定时器,时钟开始 走时,显示12: 00,秒信号在 41H 中累加 60 次,向 48H 单元作加 1 运算,这时 数码管将显示12:01,满 60 分将向小时进位而显示1:00。如果按动“调时”、 “调 分”键即可调整时间。具体的主程序见附录 3。 5.3 中断模块说明 程序初始化后就进入了“定时中断”子程序,其程序流程图见上图 52。一系 列的运算是在中断产生后进行的15,具体的中断服务程序见附录 3。 在程序计数运算中,小时应作 12 进制或 24 进制运算、分钟要作 60 进制运 算;而定时工作时应作 99 分钟倒计时及的 60 进制倒计时减运算。 杭州电子科技大学本科毕业论文 19 6 制作与调试 6.1 硬件电路的布线与焊接 6.1.1 总体特点 该系统所涉及的各部分硬件电路,总体的特点是: (1)电路原理简单,所用的器件均为常用器件; (2)由于路数较多,电路的规模较大,因此在制作中只做了 8 路。 因此,应合理布线,以降低焊接难度,降低出错率,同时防止干扰。 6.1.2 电路划分与 PCB 的制作 主板的制作与调试主板的制作稍微复杂一点。首先是制作印刷板,利用 Protel99 按照本文所示器件位置图放置好元器件,然后手动布线(双面) ,线宽为 0.8mm 左右,太宽做出的板子太大,太窄无法进行自制。绘好印制板图后转成 BMP 格式利用电脑刻字机镂空(要用进口的即时贴纸,不然容易断开) ,贴在双 面敷铜板上,就可以用 FeCl3 腐蚀了。具体的制作方法这里不再赘述,但最好在 印制板布线时做个阻焊层,同时在即时贴上刻出来,当板子制好清洗干净后敷在 上面,用浅绿色油漆或清漆喷上薄薄的一层,好看又防腐蚀。 PCB 的制作关键是布局和布线的问题,而布局和布线不是截然分开的,布局 是为布线服务,布线为的实现布局的目标。 显然,完成布局不是就不一定能布好线,布局只是布好线的第一步和最基础 的一步。接下来的问题是跳线。跳线就要打乱原先画好的原理图。一个元件转个 方向就可能导致布线发生的改变,两个或多个改变,就更麻烦了。布局过程中的 应在每一次改变方案之前就有了种种考虑,不但包括改变面谈布局后的跳线问题, 甚至还包括不行之后的其它考虑都会在其中。反复的尝试,得出一个合理的布局, 尽量做到电路的走线最优先、最简捷、最有效。制板中注意事项如下: 1. 模拟与数字电路合理分开, 普通信号线容易能以很简练的方式完成走线。 2. 高速信号线、主信号线可以得到最优先、最简捷、最有效的走线。 3. 电源线在走向上容易形成合理的回路和分支。 4 . 热设计合理,有利于系统日后工作中散热纳凉,发热元件较合理地远离模 拟电路且工作时不熏烤这些电路。 5. 印刷板的制作特别要注意的是在布线时对 220V 市电进入和输出(包括中 线)的线宽设计要宽一点(根据工作电流大小来定为好) ,还要注意市电与直流 20 20 电源的隔离,以免在使用中造成触电事故。 6.1.3 焊接 元器件购回后应先进行预处理(引脚打磨、上焊锡) ,然后逐一焊接。在焊 接 MCU 和其它集成电路时应使用有良好接地的烙铁(断电焊接也可) ,以免被击 穿。由于双面印刷板存在一个穿孔问题,器件引脚穿过后,两面都要点上焊锡; 如只是过孔,可用细铜线穿过并在两面焊接后剪掉即可。 在印刷板制作良好,连线没有不应有的开路或短路,且焊接没有虚焊的情况 下,不用调试即可正常工作。焊接前应熟悉各芯片的引脚,焊接时参照电路图, 仔细地连接引脚。按照以下原则进行焊接: (1)先焊接各芯片的电源线和地线,这样确保各芯片有正确的工作电压; (2)同类的芯片应顺序焊接,在一片焊接并检查好之后,其他的同类芯片便 可以参照第一片进行焊接。这样便可大大节省时间,也可降低出错率。 6.2 调试 本设计调试通过硬件中的串口接口通信和 USB 接口连接微机,采用 Keil uV ision2 调试。uVision2 包含一个器件数据库(device database),可以自动设置汇编 器、编译器、连接定位器及调试器选项,来满足用户充分利用特定微控制器的要 求。uVision2 编辑器它包含了所有用户熟悉的特性,彩色语法显像和文件辩识都 对 C 源代码进行和优化。它可以在编辑器内调试程序,能提供一种自然的调试环 境,使你更快速地检查和修改程序。 6.3 改进与扩展 本设计成品中的四个按钮开关控制使用了单片机 P89V51RD2FN 的 P1.4 (模 式控制开关),P1.5(操作控制开关),P3.6(执行加键),P3.7(执行减键)。这四个 按钮开关通过软件设计模式控制端可控制时钟显示(case 0) ,时钟校准(case 1), 跑表显示(case 2) ,定时(闹钟)设定(case 3) ,显示温度(case 4) 。其中定时 (闹钟)操作,显示温度,跑表显示能,只需在软件编程时相应加入相应的程序, 并在硬件电路中相应接入热敏电阻和蜂鸣器即可。当然譬如年月日、农历、星期 的计算(如大月小月、闰年、闰月等)分别汇编相应的子程序插入也可实现。 杭州电子科技大学本科毕业论文 21 7 结 论 本设计方案达到了任务书的要求,实现了定时开关电源插座的定时,时间显 示的电子钟功能,实现了于今一种较为先进且简单实用的节约能源模式的展望。 但设计中有下面几个问题需要注意: (1)由于 220V 强电输入,在焊接及调试电路时要非常小心; (2)前端 220V 交流输入后经 LM7805 稳压后输出 5V,误差较大; (3)设计中选用的单片机 P89V51 所用的工作电源是连接计算机 USB 接口的 5V 电源,如需做成市场上的成品,这一点还需要改进; (4)由 MCU 控制的译码采集和串行传送也调试实现(通过与计算机的串口 相连,用“串口调试程序”调试) ,信号处理电路通过串口连接到计算机,应用 参考书上设计的“定时汇编程序”软件进行总体调试,实现对时钟的显示和定时。 由于时间、水平和经验有限,在硬件的调试、软件编码及抗干扰等方面仍有 不足之处,有改进的余地,比如电路规模的精简,其他的保护电路,抗干扰处理。 这次毕业设计对于我来说,既是一次机遇,又是一次挑战。通过这次的毕业 设计,我学到了很多东西,通过自己的实践,增强了动手能力。通过实际工程的 设计也使我了解到书本知识和实际应用的差别。在实际应用中遇到很多的问题, 这都需要我对问题进行具体的分析,并一步一步地去解决它。 22 22 致谢 在这几个月的时间里,从对课题的理解,方案的设计,到电路的制作,再到 论文的写作,中间有着自己的努力,更有着老师和同学的关心和巨大的帮助。 感谢胡体玲老师在很忙的情况下,为我讲解课题的要点,引领设计的思路。 她对学生认真负责的态度让我由衷地敬佩。 感谢冯世柱和孙海连同学给予我无私的帮助,他们对我所遇到的难题的解答 让我受益匪浅。 感谢杨老师对我们的关心照顾。 感谢母校和老师们在大学四年中对我的培养。 杭州电子科技大学本科毕业论文 23 参考文献 1 魏军丞. 用单片机制作的定时开关控制器. 电子世界,2005(10):25-27 2 曾若渊. MCS-51 定时器/计数器在出租车计价器中的应用. 现代测量与实 验室管理,2005,19(01):24-25 3 崔惠柳. 单片机应用技术选编:串行时钟芯片 DS1302 在汽车智能记录仪中 的应用.北京:北京航空航天大学出版社,1999 4 张晓军,罗翔,史金飞. 基于时间芯片的定时控制装置. 现代电子技术, 2006,16(01):8-10 5 李响初. 基于 MCS-51 单片机的智能时钟控制系统设计. 世界电子元器件, 2007(4):50-52 6 张俊谟编著. SoC 单片机原理与应用. 北京:北京航空航天大学出版社, 2007 7 吴汉清. 基于 AT89C2051 单片机的倒计数定时器.单片机与可编程器件, 2005,8(11): 28-30 8 田希晖, 薛亮儒编著. C51 单片机技术教程. 北京:人民邮电出版社,2007 9 王为青,邱文勋编著. 51 单片机应用开发案例精选. 北京:人民邮电出版 社,2007 10 徐金增; 史斐翡. MCS-51 软件消除定时中断误差. 电子制作,2007(10): 52-53 11 朱蓉,郑建华. 基于 MCS-51 单片机定时精确控制的研究. 现代电子技术, 2005,28(17):32-34 12 王振宇. 基于单片机设计的多功能定时器. 贵州教育学院学报(自然科学) ,2005.8,16(4):79-81 13 Fast Memory Transfers with the Ultra High-Speed Flash Microcontroller. http:/www. /appnotes.cfm/appnote_number/604 14 Paul C. de Jong and Ferry N. Toth .Measuring Duty Cycles with an Intel MCS- 51 Microcontroller. http:/www.smartec.nl/pdf/appsmt01.pdf 15 80C51 8bit ;/IOL_m80c51fb/PdfView/ 4628.htm 24 24 附附 录录 附录 1 单片机内部结构和引脚功能 附录 1.1 单片机内部结构如下图 附录图 1 80C51 内部结构框图 附录 1.2 引脚功能介绍 1电源:Vss(20 脚):接地;VCC(40 脚): 主电源+5V。 2. 时钟:XTAL1、XTAL2 - 晶体振荡电路反相输入端和输出端。 XTAL1(19 脚):接外部晶体的一端。在片内它是振荡电路反相放大器的输入端。在采用外 部时钟时,对于 HMOS 单片机,该端引脚必须接地;对于 CHMOS 单片机,此引脚 作为驱动端。XTAL2(18 脚): 接外部晶体的另一端。在片内它是一个振荡电路 反相放大器的输出端,振荡电路的频率是晶体振荡频率。若需采用外部时钟电路

温馨提示

  • 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
  • 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
  • 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
  • 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
  • 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
  • 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
  • 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

评论

0/150

提交评论