基于LabVIEW71多功能信号发生器的设计-图文_第1页
基于LabVIEW71多功能信号发生器的设计-图文_第2页
基于LabVIEW71多功能信号发生器的设计-图文_第3页
基于LabVIEW71多功能信号发生器的设计-图文_第4页
基于LabVIEW71多功能信号发生器的设计-图文_第5页
已阅读5页,还剩8页未读 继续免费阅读

下载本文档

版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领

文档简介

第39卷第6期2008年11月太原理工大学学报joURNAI。OFTAIYUANUNIVERSITYoFTECHNoI,oGYV01.39No.6Nov.2008文章编号:1007—9432(200806—0599—04基于LabVIEW7.1多功能信号发生器的设计马艳艳,王莉莉,白凤娥(太原理工大学计算机与软件学院,山西太原030024摘要:采用LabVIEW7.1环境下开发的程序,组建了多功能虚拟信号发生器。该发生器不仅能产生正弦波、方波、三角波和锯齿波这4种基本波形,还可以榆出其它4-/:意波形,并可模拟实际工作环境中添加了噪声后的波形信号,同时还可轻松、快捷地将这些信号波形显示出来。解决了传统信号发生器只能产生基本波形的局限性,为学习和实践测试提供了一条捷径。关键词:信号发生器;虚拟仪器,LabVIEW7.1中图分类号:TP391文献标识码:A虚拟仪器是在以通用计算机为核心的硬件平台上,由用户自己设计定义,具有虚拟面板,测试功能由测试软件实现的一种计算机仪器系统[1’2]。信号发生器作为科学实验中比较重要的装置,被广泛地应用到教学、科研等各个实验领域。传统的模拟信号发生器一般只能产生几种常规的波形,而在一些复杂和特殊的应用中,要求输出任意波形且要易于程控。目前,我国高档台式仪器,如数字示波器、频谱分析仪等还主要依赖进口。这些仪器加工工艺复杂,对制造水平要求高,生产突破有困难,而采用虚拟技术后,就可通过只采购适合自己应用情况的通用仪器硬件,依靠虚拟仪器软件开发平台,设计出所需的高性能价格比的仪器系统[3’4]。1基于LabVIEW7.1的虚拟仪器组成在LabVIEW环境下开发的程序称之为VI(VirtualInstrument。VI是LabVIEW的核心,由人机交互的界面一前面板(FrontPanel和相当于源代码功能的框图程序一后面板(Diagram组成。前面板是程序的界面,在该界面上有控制量(Controls和显示量(Indicators两类对象。在前面板中,控制量(Controls模拟了仪器的输入装置并把数据提供给VI的框图程序,例如开关,旋钮;而显示量(Indictors则是模拟了仪器的输出装置并显示由框图程序获得或产生的数据,例如用于显示波形的窗口等。后面板又称代码窗口或流程图,是VI图形化的源程序,在流程图中对VI编程,以控制和操纵定义在前面板上的输人和输出等功能。流程图中包括前面板上对象的连线端子,还有一些前面板上没有但编程必须有的对象,如函数、结构和连线等‰4’5]。2多功能信号发生器软件设计思路2.I多功能信号发生器的基本功能信号发生器实现8个功能:1产生标准波形(如正弦波、方波、三角波和锯齿波,还有任意波形的产生(如公式波;2对波形幅度、频率、相位、方波占空比(O~100%、偏置(一5~5V等参数进行调节;3对波形添加噪声信号(高斯白噪声、泊松波形、反幂律波形、Binomial噪声、Gamma噪声和周期性随机噪声;4通过运算实现幅度衰减(输出波形幅度范围:一5~5V;衰减范围:20dB、40dB、60dB;5通过输入公式产生不同的波形(表达式中只能包含a、叫、竹、t、,这五个参数;6能够对频率进行微调及倍乘(微调范围:0.000l~lHz,频率选择范围0.0000~4.9999Hz;7能够实现TTL电平信号的简单输出;8能够存储和读取波形数据,为分析数据提供方便。收稿日期:2008-03—15作者简介:马艳艳(1984一,女,山西省临县人,硕士生,主要从事计算机监控系统研究,(Tel134********通讯联系人:白凤娥,女,教授,(Tel138********600太原理工大学学报第39卷2.2多功能信号发生器的前面板设计‘多功能信号发生器的前面板主要由4部分构成:波形选择模块、频率控制模块、波形相关参数输入和添加噪声信号模块i此外,还设计了TTL电平信号输出控制和波形的存储与读取功能,如图1所示。通过调节波形选择旋钮,该多功能信号发生器能够实现正弦波、方波、三角波、锯齿波和公式波5种波形的输出。通过“选择噪声”下拉列表给波形添加噪声信号,输出波形窗口显示为添加噪声后的波形图。通过频率选择旋钮实现频率的倍乘(即只要拨动很小的范围就能实现很大范围的频率调节,还可以通过点击幅值衰减按钮改变幅度范围(幅度衰减公式为dB一20109X。TTL电平输出的作用是控制“波形输出”中只能输出方波,即在前面板中,当“TTL输出”按钮被按下时,“波形输出”窗口只能显示方波,此时调节波形选择旋钮对波形的输出不起作用。“波形存储”按钮提供存储波形数据的功能,为将来分析数据做准备;“读波形数据”按钮主要是为查看已存储的波形数据而设计的,通过读取历史数据,对波形进行分析。2.3多功能信号发生器的后面板设计多功能信号发生器的后面板(程序框图用LabVIEW7.1图形编程语言编写,可以把它理解成传统程序的源代码。框图程序由节点、端点、图框和连线构成,与图1所示的前面板对应的后面板程序如图2所示。田1多功能信号发生器前面板LabVIEW中的节点类似于文本语言程序的语过程中的数据流,并定义了框图内的数据流动方句、函数或者子程序。LabVIEW有两种节点类向[1幺6。。型一函数节点和子VI节点。端点是只有一路输入/输出,且方向固定的节点。LabVIEW有三类端点一前面板对象端点、全局与局部变量端点和常量端点。对象端点是数据在框图程序部分和前面板之间传输的接口;一个VI的前面板上的对象(控制或显示都在框图中有一个对象端点与之对应。图框是LabVIEw实现程序结构控制命令的图形表示,如循环控制(While循环、For循环和TimedLoop循环、条件分支控制(Casestructure和顺序控制(StackedSequenceStructure和FlatSequenceStructure等。节点用来实现函数和功能调用,图框用来实现程序结构控制命令,而连线代表程序执行2.4多功能信号发生器子Vl的设计后面板中调用的子VI有波形选择子VI、添加噪声信号子VI、频率选择子VI和幅值衰减子VI。1波形选择子VI。正弦波的产生原理是通过调用sin(x函数来实现,每一正弦波周期由105点组成,利用类似C语言中的For循环为x赋值,每执行一次For循环,便可以生成一个周期正弦波所需的数据,然后利用While循环,使程序反复执行,就可以连续输出正弦波形。方波、锯齿渡、三角波的产生原理与正弦波产生原理相近,都是通过数学运算来实现代表波形的数字序列。波形选择子VI中,由五种波形组成一个数组,第6期马艳艳等:基于LabVlEw7.1多功能信号发生器的设计601圈2多功能信号发生器后面板旋钮上的0—4作为索引值,通过调用数组索引函声波形和Binomial噪声波形。由于在实际应用中数,对数组元素索引并输出,输出值作为“波形输入”常常需要添加噪声信号,本文通过布尔按钮控件来控件的输入值。再采用条件分支控制即选择结构设置是否加入噪声,然后在输出端用加号控件把输(相当于C语言中的“Case”语句,利用该控件与条出噪声信号和纯净信号波形进行叠加,并在显示波件选择端口相连来选择相应的波形信号。形区域显示添加噪声后的波形。2添加噪声信号子VI。LabVIEW7.1中有许3频率选择子VI。与波形选择子Vl的设计多噪声信号子VI可以直接调用,和纯净信号波形类似,由6个频率倍乘值组成数组,数组元素通过的输出一样,多种噪声也采用选择结构,在后面板的s、数组索引函数索引并输出,利用输出值与选择结函数模板的结构子模板中选取“Casestructure”,利构端口相连实现频率的倍乘选择。其中,频率的输用一个下拉列表控件与条件选择端I=l相连来选择相入<一1/2*采样率。应的噪声波形信号的产生。其后面板程序如图3。4幅值衰减子VI。幅值衰减单位dB(Deci一图3波形选择子VI框图下拉列表中有:高斯白噪声、周期性随机噪声、反幂律噪声、Gamma噪声、泊松噪声、二项分布的噪bel,分贝是一个纯计数单位,本意是表示两个量的比值大小,没有单位,是按照对数定义的一个幅度单位。对于电压值,dB以2019X给出;对于功率值,以1019X给出。dB的意义就是把一个很大(后面跟一长串o或者很小(前面有一长串O的数比较简短地表示出来。如:X一1000000000000000-----10”。X(dB一10lg(XdB一10lg(1015dB一150dB,X一0.000000000000001=10—15.X(dB一10lg(XdB=10Ig(10—15dB-----一150dB;dB在缺省情况下总是定义功率单位,以10lg为计。要实现幅值衰减,首先要将输人的幅度值X根据上述转化方法进行计算,然后输出衰减后的幅值。该模块通过两个布尔按钮来实现4种衰减形式,如太原理工大学学报第39卷表1所示(A、B代表两个布尔型按钮。幅值衰减单位dB是一个纯计数单位。dB的意义就是把一个很大(后面跟一长串0或者很小(前面有一长串O的数比较简短地表示出来。如:dB在缺省情况下总是定义功率单位,以1019为计。当然某些情况下可以用信号强度(Amplitude来描述功和功率,这时候就用20lg为计。要实现幅值衰减,首先要利用公式X(dB一10lg(XdB对输入的幅度进行计算,然后输出衰减后的幅值。该模块通过两个布尔按钮来实现4种衰减形式,如表1所示(A、B代表两个布尔型按钮。表1幅值衰减计算衰减度/dB布尔计算0204060A—BO1—10AnBOO01笔者采用两个选择结构的嵌套,对上表中列出的四种情况分别实现衰减。2.5多功能信号发生器附加功能的设计1TTL电平信号输出。TTL电平信号输出是通过调用选择结构控制输出状态的,TTL按钮的布尔值与选择结构的条件端口相连,来选择是否进行TTL输出。当TTL有效时,则直接输出方波,幅值范围为“0~5V”。此时调节波形选择旋钮对波形的输出不起作用。当TTL无效时,正常输出波形。2波形的存储与读取。在Express模板中的输出子模板中选择“写入测量文件”VI,用于保存信号波形,在VI的属性里设置保存的地址和文件的格式,便于需要时调用。将输出信号分支连线到波形图节点的输入端口,以便运行时显示信号波形。3总结LabVIEW7.1作为一个图形化编程软件,是开发测试系统的一种功能强大、方便快捷的编程工具。其良好的相通性、开放性、专用性,使测试系统的开发周期短、成本低、质量高[3’6]。基于LabVIEW7.1的虚拟函数信号发生器具有交互性好、易于操作等特点,能够广泛应用于科研、生产等领域。利用专业虚拟仪器开发工具LabVIEW7.1设计了多功能信号发生器,除了可实现普通信号发生器的基本功能外,还可以实现添加噪声后的波形输出功能,同时也能实现频率的微调与倍乘、幅度的衰减和波形的存储及读取等功能,更好地满足了用户需求。参考文献:[1]袁渊。古军等.虚拟仪器基础教程[M].成都:电子科技大学出版社,2002.[2]刘君华.虚拟仪器图形化编程语言LabVIEW教程[M].西安:西安电子科技大学出版社,2001.[3]薛得凤.基于图形化编程语言LabVIEW的一种虚拟仪器的实现[J].自动化与仪器仪表,2003(5:24—26.[43陈永明等.基于LabVIEW的波形发生器[J].电子测量技术,2006,29(5:84—86.[5]于洁,钟佩思.基于虚拟仪器界面的信号发生器的设计与实现口].机械与电子,2004(9:65—67.[6]陈隆道,周箭,许昌.虚拟仪器一测试技术的新领域EJ].科技通报,1999(1:24—29.DesignofMulti-functionalSignal—generatorBasedonLabVIEW7.1MAYan-yan,WANGLi-li,BAIFeng-e(CollegeofComputerandSoftwareofTUT。Taiyuan030024,ChinaAbstract:BasingonLabVIEW7.1,muIti—functionalvirtualsignalgeneratorisbuilt.Itcannotonlyoutputsinewave,squarewave,trianglewaveandsawtoothwavethesebasicwave—forms,butalsooutputarbitrarywaveform.Besides,theoutputwavesthataddednoisesignalinthepracticalissimulatedandshowedeasilyandquickly.Thelimitationofthetraditionalsignalgeneratordeviceissolvedbymulti-functionalvirtualsignalgenerator,whichprovidedashortcutforstudyandpracticaltest.Keywords:singalgenerator;virtualinstrument;LabVIEW7.1(编辑:刘笑达基于LabVIEW7.1多功能信号发生器的设计作者:马艳艳,王莉莉,白凤娥,MAYan-yan,WANGLi-li,BAIFeng-e作者单位:太原理工大学计算机与软件学院,山西太原,030024刊名:太原理工大学学报英文刊名:JOURNALOFTAIYUANUNIVERSITYOFTECHNOLOGY年,卷(期:2008,39(6引用次数:0次参考文献(6条1.袁渊.古军虚拟仪器基础教程20022.刘君华虚拟仪器图形化编程语言LabVIEW教程20013.薛得凤基于图形化编程语言Labview的一种虚拟仪器的实现[期刊论文]-自动化与仪器仪表2003(54.陈永明.王红超.李继芳.黄元庆基于LabVIEW的波形发生器[期刊论文]-电子测量技术2006(55.于洁.钟佩思基于虚拟仪器界面的信号发生器的设计与实现[期刊论文]-机械与电子2004(96.陈隆道.周箭.许昌虚拟仪器--测试技术的新领域[期刊论文]-科技通报1999(1相似文献(10条1.期刊论文黄豪彩.黄宜坚基于虚拟仪器开发的信号发生器及其应用-国外电子测量技术2002,21(3本文构建基于NI虚拟仪器的电流变检测与控制系统,并基于虚拟仪器平台开发了一种信号发生器.通过改变信号发生器的参数,可方便得到斜坡、阶跃、脉冲和e函数等各种不同波形的信号.介绍这种信号发生器的零点和幅值调节方法,用它产生的信号作为输入信号来做电流变实验,研究电流变传动机构的动态性能.实验表明,这种信号发生器是方便的、有效的,把虚拟仪器技术用于电流变传动机构的研究是可行的.2.期刊论文周大鹏.常峰.何光普基于虚拟仪器的函数信号发生器设计-乐山师范学院学报2009,24(5探讨了虚拟仪器技术在高校实验教学中的应用前景,阐述了函数信号发生器的组建方法;结合硬件和PC机,利用LabVIEW软件开发环境,设计了一个基于虚拟仪器技术的多功能函数信号发生器;给出了函数信号发生器的前面板和框图程序设计、并给出了性能指标.实验结果表明基于虚拟仪器技术的多功能函数信号发生器完全可以满足高校实验室教学的需要.3.期刊论文刘连生.汪海兵基于虚拟仪器信号发生器设计与实现-中国民航大学学报2007,25(z1介绍了基于NI公司的软件开发平台LabVIEW的虚拟仪器设计方法.利用LabVIEW图形编程语言,设计了基于PXI机箱和PXl5412的实用性很强的常用虚拟信号发生器以及针对民用航空ATC(airtrafficcontrol系统的询问信号发生器,说明了虚拟仪器在现代测试领域中的重要地位及其广阔的发展前景.4.期刊论文于洁.钟佩思.YUJie.ZHONGPei-si信号发生器在虚拟仪器界面中的设计与实现-山东理工大学学报(自然科学版2005,19(2虚拟仪器是现代测量技术和计算机技术相结合的产物,标志着自动测试与电子测试仪器领域技术发展的一个崭新方向.介绍了利用LabVIEW图形编程语言进行虚拟仪器开发的方法,设计了一种基于PC机和网络的实用性很强的虚拟信号发生器,说明了虚拟仪器在现代测试领域中的重要地位以及其广阔的发展前景.5.期刊论文何志泉基于虚拟仪器的信号发生器设计-技术与市场(上半月2005(7信号发生器是科研及工程实践中最重要的仪器之一,以往多由硬件组成,系统结构比较复杂,可维护性和可操作性不佳.随着计算机技术的发展,信号发生器的设计制作越来越多的是利用计算机技术,种类繁多,价格、性能差异很大.本文所介绍新型智能信号发生器是基于虚拟仪器技术的一种全新方法,与传统的方法比较有明显的优点,其性价比高、构成简单、适用范围广、实用性强、具有广阔的市场应用前景.6.期刊论文于洁.钟佩思基于虚拟仪器界面的信号发生器的设计与实现-机械与电子2004(9介绍了利用LabVIEW图形编程语言进行虚拟仪器开发方法,设计了一种基于PC机和网络的实用性很强的虚拟信号发生器,说明了虚拟仪器在现代测试领域中的重要地位以及其广阔的发展前景.7.会议论文欧阳普忠虚拟仪器式400Hz机载电源校准信号发生器2001论文介绍了一种基于PC机的虚拟仪器式校准信号源——400Hz机载电源校准信号发生器,论述了这种信号源的基本原理和各种功能的实现方法,测试了该仪器的技术指标.8.期刊论文杨艾兵.张锡恩.郭利.YANGAi-bing.ZHANGXi-en.GUOLi基于虚拟仪器的脉冲信号发生器-工业仪表与自动化装置2008(2主要以定时/计数器卡PCI-TMC12A为例,介绍了以虚拟仪器技术为基础,实现输出脉冲周期、脉宽以及输出脉冲数可调的脉冲信号发生器的一种方法.9.学位论文杨晓霞基于虚拟仪器的网络教学实验系统的设计2008随着电子技术高速发展,新器件、新电路不断涌现,普通实验室的更新速度无法跟上技术的发展。此外普通实验室涉及

温馨提示

  • 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
  • 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
  • 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
  • 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
  • 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
  • 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
  • 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

评论

0/150

提交评论